Globals

Summary
Globals
Simulation Control
run_testConvenience function for uvm_top.run_test().
Reporting
uvm_report_enabledReturns 1 if the configured verbosity in uvm_top is greater than verbosity and the action associated with the given severity and id is not UVM_NO_ACTION, else returns 0.
uvm_report_info
uvm_report_warning
uvm_report_error
uvm_report_fatalThese methods, defined in package scope, are convenience functions that delegate to the corresponding component methods in uvm_top.
Configuration
set_config_intThis is the global version of set_config_int in uvm_component.
set_config_objectThis is the global version of set_config_object in uvm_component.
set_config_stringThis is the global version of set_config_string in uvm_component.
Miscellaneous
uvm_is_matchReturns 1 if the two strings match, 0 otherwise.
uvm_string_to_bitsConverts an input string to its bit-vector equivalent.
uvm_bits_to_stringConverts an input bit-vector to its string equivalent.
uvm_wait_for_nba_regionCallers of this task will not return until the NBA region, thus allowing other processes any number of delta cycles (#0) to settle out before continuing.
uvm_split_stringReturns a queue of strings, values, that is the result of the str split based on the sep.

run_test

task run_test ( string  test_name  =  "" )

Convenience function for uvm_top.run_test().  See uvm_root for more information.

uvm_report_enabled

function bit uvm_report_enabled ( int  verbosity,   
uvm_severity  severity  =  UVM_INFO,
string  id  =  "" )

Returns 1 if the configured verbosity in uvm_top is greater than verbosity and the action associated with the given severity and id is not UVM_NO_ACTION, else returns 0.

See also uvm_report_object::uvm_report_enabled.

Static methods of an extension of uvm_report_object, e.g. uvm_compoent-based objects, can not call uvm_report_enabled because the call will resolve to the uvm_report_object::uvm_report_enabled, which is non-static.  Static methods can not call non-static methods of the same class.

uvm_report_info

function void uvm_report_info( string  id,   
string  message,   
int  verbosity  =  UVM_MEDIUM,
string  filename  =  "",
int  line  =  0 )

uvm_report_warning

function void uvm_report_warning( string  id,   
string  message,   
int  verbosity  =  UVM_MEDIUM,
string  filename  =  "",
int  line  =  0 )

uvm_report_error

function void uvm_report_error( string  id,   
string  message,   
int  verbosity  =  UVM_LOW,
string  filename  =  "",
int  line  =  0 )

uvm_report_fatal

function void uvm_report_fatal( string  id,   
string  message,   
int  verbosity  =  UVM_NONE,
string  filename  =  "",
int  line  =  0 )

These methods, defined in package scope, are convenience functions that delegate to the corresponding component methods in uvm_top.  They can be used in module-based code to use the same reporting mechanism as class-based components.  See uvm_report_object for details on the reporting mechanism.

Note: Verbosity is ignored for warnings, errors, and fatals to ensure users do not inadvertently filter them out.  It remains in the methods for backward compatibility.

set_config_int

function void set_config_int ( string  inst_name,
string  field_name,
uvm_bitstream_t  value )

This is the global version of set_config_int in uvm_component.  This function places the configuration setting for an integral field in a global override table, which has highest precedence over any component-level setting.  See uvm_component::set_config_int for details on setting configuration.

set_config_object

function void set_config_object ( string  inst_name,   
string  field_name,   
uvm_object  value,   
bit  clone  =  1 )

This is the global version of set_config_object in uvm_component.  This function places the configuration setting for an object field in a global override table, which has highest precedence over any component-level setting.  See uvm_component::set_config_object for details on setting configuration.

set_config_string

function void set_config_string ( string  inst_name,
string  field_name,
string  value )

This is the global version of set_config_string in uvm_component.  This function places the configuration setting for an string field in a global override table, which has highest precedence over any component-level setting.  See uvm_component::set_config_string for details on setting configuration.

uvm_is_match

function bit uvm_is_match ( string  expr,
string  str )

Returns 1 if the two strings match, 0 otherwise.

The first string, expr, is a string that may contain ‘*’ and ‘?’ characters.  A * matches zero or more characters, and ? matches any single character.  The 2nd argument, str, is the string begin matched against.  It must not contain any wildcards.

uvm_string_to_bits

function logic[UVM_LARGE_STRING:0] uvm_string_to_bits( string  str )

Converts an input string to its bit-vector equivalent.  Max bit-vector length is approximately 14000 characters.

uvm_bits_to_string

function string uvm_bits_to_string( logic  [UVM_LARGE_STRING:0]  str )

Converts an input bit-vector to its string equivalent.  Max bit-vector length is approximately 14000 characters.

uvm_wait_for_nba_region

task uvm_wait_for_nba_region

Callers of this task will not return until the NBA region, thus allowing other processes any number of delta cycles (#0) to settle out before continuing.  See uvm_sequencer_base::wait_for_sequences for example usage.

uvm_split_string

function automatic void uvm_split_string ( string  str,
byte  sep,
ref  string  values[$] )

Returns a queue of strings, values, that is the result of the str split based on the sep.  For example:

uvm_split_string("1,on,false", ",", splits);

Results in the ‘splits’ queue containing the three elements: 1, on and false.

task run_test ( string  test_name  =  "" )
Convenience function for uvm_top.run_test().
function bit uvm_report_enabled ( int  verbosity,   
uvm_severity  severity  =  UVM_INFO,
string  id  =  "" )
Returns 1 if the configured verbosity in uvm_top is greater than verbosity and the action associated with the given severity and id is not UVM_NO_ACTION, else returns 0.
function void uvm_report_info( string  id,   
string  message,   
int  verbosity  =  UVM_MEDIUM,
string  filename  =  "",
int  line  =  0 )
function void uvm_report_warning( string  id,   
string  message,   
int  verbosity  =  UVM_MEDIUM,
string  filename  =  "",
int  line  =  0 )
function void uvm_report_error( string  id,   
string  message,   
int  verbosity  =  UVM_LOW,
string  filename  =  "",
int  line  =  0 )
function void uvm_report_fatal( string  id,   
string  message,   
int  verbosity  =  UVM_NONE,
string  filename  =  "",
int  line  =  0 )
These methods, defined in package scope, are convenience functions that delegate to the corresponding component methods in uvm_top.
function void set_config_int ( string  inst_name,
string  field_name,
uvm_bitstream_t  value )
This is the global version of set_config_int in uvm_component.
virtual class uvm_component extends uvm_report_object
The uvm_component class is the root base class for UVM components.
function void set_config_object ( string  inst_name,   
string  field_name,   
uvm_object  value,   
bit  clone  =  1 )
This is the global version of set_config_object in uvm_component.
function void set_config_string ( string  inst_name,
string  field_name,
string  value )
This is the global version of set_config_string in uvm_component.
function bit uvm_is_match ( string  expr,
string  str )
Returns 1 if the two strings match, 0 otherwise.
function logic[UVM_LARGE_STRING:0] uvm_string_to_bits( string  str )
Converts an input string to its bit-vector equivalent.
function string uvm_bits_to_string( logic  [UVM_LARGE_STRING:0]  str )
Converts an input bit-vector to its string equivalent.
task uvm_wait_for_nba_region
Callers of this task will not return until the NBA region, thus allowing other processes any number of delta cycles (#0) to settle out before continuing.
function automatic void uvm_split_string ( string  str,
byte  sep,
ref  string  values[$] )
Returns a queue of strings, values, that is the result of the str split based on the sep.
The uvm_root class serves as the implicit top-level and phase controller for all UVM components.
function int uvm_report_enabled( int  verbosity,   
uvm_severity  severity  =  UVM_INFO,
string  id  =  "" )
Returns 1 if the configured verbosity for this severity/id is greater than verbosity and the action associated with the given severity and id is not UVM_NO_ACTION, else returns 0.
class uvm_report_object extends uvm_object
The uvm_report_object provides an interface to the UVM reporting facility.
virtual function void set_config_int ( string  inst_name,
string  field_name,
uvm_bitstream_t  value )
virtual function void set_config_object ( string  inst_name,   
string  field_name,   
uvm_object  value,   
bit  clone  =  1 )
Calling set_config_* causes configuration settings to be created and placed in a table internal to this component.
virtual function void set_config_string ( string  inst_name,
string  field_name,
string  value )
virtual task wait_for_sequences()
Waits for a sequence to have a new item available.