UVM Configuration Database

Contents
UVM Configuration Database
IntroThe uvm_config_db class provides a convenience interface on top of the uvm_resource_db to simplify the basic interface that is used for configuring uvm_component instances.
uvm_config_dbAll of the functions in uvm_config_db#(T) are static, so they must be called using the :: operator.
uvm_config_db_optionsProvides a namespace for managing options for the configuration DB facility.

Intro

The uvm_config_db class provides a convenience interface on top of the uvm_resource_db to simplify the basic interface that is used for configuring uvm_component instances.

If the run-time +UVM_CONFIG_DB_TRACE command line option is specified, all configuration DB accesses (read and write) are displayed.

uvm_config_db

All of the functions in uvm_config_db#(T) are static, so they must be called using the :: operator.  For example:

uvm_config_db#(int)::set(this, "*", "A");

The parameter value “int” identifies the configuration type as an int property.

The set and get methods provide the same api and semantics as the set/get_config_* functions in uvm_component.

Summary
uvm_config_db
All of the functions in uvm_config_db#(T) are static, so they must be called using the :: operator.
Class Hierarchy
uvm_resource_db#(T)
uvm_config_db
Class Declaration
class uvm_config_db#(
    type  T  =  int
) extends uvm_resource_db#(T)
Methods
getGet the value for field_name in inst_name, using component cntxt as the starting search point.
setCreate a new or update an existing configuration setting for field_name in inst_name from cntxt.
existsCheck if a value for field_name is available in inst_name, using component cntxt as the starting search point.
wait_modifiedWait for a configuration setting to be set for field_name in cntxt and inst_name.

get

static function bit get( uvm_component  cntxt,
string  inst_name,
string  field_name,
inout  value )

Get the value for field_name in inst_name, using component cntxt as the starting search point.  inst_name is an explicit instance name relative to cntxt and may be an empty string if the cntxt is the instance that the configuration object applies to.  field_name is the specific field in the scope that is being searched for.

The basic get_config_* methods from uvm_component are mapped to this function as:

get_config_int(...) => uvm_config_db#(uvm_bitstream_t)::get(cntxt,...)
get_config_string(...) => uvm_config_db#(string)::get(cntxt,...)
get_config_object(...) => uvm_config_db#(uvm_object)::get(cntxt,...)

set

static function void set( uvm_component  cntxt,
string  inst_name,
string  field_name,
value )

Create a new or update an existing configuration setting for field_name in inst_name from cntxt.  The setting is made at cntxt, with the full name of cntxt added to the inst_name.  If cntxt is null then inst_name provides the complete scope information of the setting.  field_name is the target field.  Both inst_name and field_name may be glob style or regular expression style expressions.

If a setting is made at build time, the cntxt hierarchy is used to determine the setting’s precedence in the database.  Settings from hierarchically higher levels have higher precedence.  Settings from the same level of hierarchy have a last setting wins semantic.  A precedence setting of uvm_resource_base::default_precedence is used for uvm_top, and each hierarcical level below the top is decremented by 1.

After build time, all settings use the default precedence and thus have a last wins semantic.  So, if at run time, a low level component makes a runtime setting of some field, that setting will have precedence over a setting from the test level that was made earlier in the simulation.

The basic set_config_* methods from uvm_component are mapped to this function as:

set_config_int(...) => uvm_config_db#(uvm_bitstream_t)::set(cntxt,...)
set_config_string(...) => uvm_config_db#(string)::set(cntxt,...)
set_config_object(...) => uvm_config_db#(uvm_object)::set(cntxt,...)

exists

static function bit exists( uvm_component  cntxt,   
string  inst_name,   
string  field_name,   
bit  spell_chk  =  )

Check if a value for field_name is available in inst_name, using component cntxt as the starting search point.  inst_name is an explicit instance name relative to cntxt and may be an empty string if the cntxt is the instance that the configuration object applies to.  field_name is the specific field in the scope that is being searched for.  The spell_chk arg can be set to 1 to turn spell checking on if it is expected that the field should exist in the database.  The function returns 1 if a config parameter exists and 0 if it doesn’t exist.

wait_modified

static task wait_modified( uvm_component  cntxt,
string  inst_name,
string  field_name )

Wait for a configuration setting to be set for field_name in cntxt and inst_name.  The task blocks until a new configuration setting is applied that effects the specified field.

uvm_config_db_options

Provides a namespace for managing options for the configuration DB facility.  The only thing allowed in this class is static local data members and static functions for manipulating and retrieving the value of the data members.  The static local data members represent options and settings that control the behavior of the configuration DB facility.

Summary
uvm_config_db_options
Provides a namespace for managing options for the configuration DB facility.
Methods
turn_on_tracingTurn tracing on for the configuration database.
turn_off_tracingTurn tracing off for the configuration database.
is_tracingReturns 1 if the tracing facility is on and 0 if it is off.

turn_on_tracing

static function void turn_on_tracing()

Turn tracing on for the configuration database.  This causes all reads and writes to the database to display information about the accesses.  Tracing is off by default.

This method is implicitly called by the +UVM_CONFIG_DB_TRACE.

turn_off_tracing

static function void turn_off_tracing()

Turn tracing off for the configuration database.

is_tracing

static function bit is_tracing()

Returns 1 if the tracing facility is on and 0 if it is off.

class uvm_config_db#( type  T  =  int ) extends uvm_resource_db#(T)
All of the functions in uvm_config_db#(T) are static, so they must be called using the :: operator.
class uvm_resource_db #( type  T  =  uvm_object )
All of the functions in uvm_resource_db#(T) are static, so they must be called using the :: operator.
virtual class uvm_component extends uvm_report_object
The uvm_component class is the root base class for UVM components.
static function bit get( uvm_component  cntxt,
string  inst_name,
string  field_name,
inout  value )
Get the value for field_name in inst_name, using component cntxt as the starting search point.
static function void set( uvm_component  cntxt,
string  inst_name,
string  field_name,
value )
Create a new or update an existing configuration setting for field_name in inst_name from cntxt.
static function bit exists( uvm_component  cntxt,   
string  inst_name,   
string  field_name,   
bit  spell_chk  =  )
Check if a value for field_name is available in inst_name, using component cntxt as the starting search point.
static task wait_modified( uvm_component  cntxt,
string  inst_name,
string  field_name )
Wait for a configuration setting to be set for field_name in cntxt and inst_name.
static int unsigned default_precedence = 1000
The default precedence for an resource that has been created.
static function void turn_on_tracing()
Turn tracing on for the configuration database.
static function void turn_off_tracing()
Turn tracing off for the configuration database.
static function bit is_tracing()
Returns 1 if the tracing facility is on and 0 if it is off.