P | |
pack | |
pack_bytes | |
pack_field | |
pack_field_int | |
pack_ints | |
pack_object | |
pack_real | |
pack_string | |
pack_time | |
Packing | |
Packing Macros | |
Packing-No Size Info | |
Packing-With Size Info | |
pair_ap | |
parent | |
parent_sequence | |
path | |
peek | |
peek_mem | |
peek_reg | |
phase_ended | |
phase_ready_to_end | |
phase_started | |
Phasing | |
Phasing Implementation | |
Phasing Interface | |
Phasing Overview | |
physical | |
poke | |
poke_mem | |
poke_reg | |
policy | |
Policy Classes | |
Pool Classes | |
pop_back | |
pop_front | |
Port Base Classes | |
Port Type | |
Ports uvm_algorithmic_comparator#(BEFORE,AFTER,TRANSFORMER)uvm_driver#(REQ,RSP)uvm_in_order_comparator#(T,comp_type,convert,pair_type)uvm_push_driver#(REQ,RSP)uvm_push_sequencer#(REQ,RSP)uvm_random_stimulus#(T)uvm_subscriberuvm_tlm_analysis_fifouvm_tlm_fifo_base#(T)uvm_tlm_req_rsp_channel#(REQ,RSP)uvm_tlm_transport_channel#(REQ,RSP) | |
Ports,Exports,and Imps | |
post_body | |
POST_BODY | |
post_configure_phase | |
post_do | |
post_main_phase | |
post_predict | |
post_randomize | |
post_read | |
post_reset_phase | |
post_shutdown_phase | |
post_start | |
POST_START | |
post_trigger | |
post_write | |
pre_abort | |
pre_body | |
PRE_BODY | |
pre_configure_phase | |
pre_do | |
pre_main_phase | |
pre_predict | |
pre_randomize | |
pre_read | |
pre_reset_phase | |
pre_shutdown_phase | |
pre_start | |
PRE_START | |
pre_trigger | |
pre_write | |
precedence | |
Predefined Component Classes | |
Predefined Extensions | |
predict | |
prefix | |
prev | |
print_accessors | |
print_array_footer | |
print_array_header | |
print_array_range | |
print_catcher | |
print_config | |
print_config_matches | |
print_config_settings | |
print_config_with_audit | |
print_enabled | |
print_generic | |
print_int | |
print_msg | |
print_object | |
print_override_info | |
print_resources | |
print_string | |
print_time | |
print_topology | |
Printing | |
prior | |
Priority | |
process_report | |
provides_responses | |
push_back | |
push_front | |
put | |
Put | |
put_ap | |
put_export | |
put_request_export | |
put_response_export | |
R | |
raise_objection | |
raised | |
read | |
Read-only Interface | |
Read/ Write Interface | |
read_by_name | |
read_by_type | |
read_func | |
read_mem | |
read_mem_by_name | |
read_reg | |
read_reg_by_name | |
reconfigure | |
record | |
record_error_tr | |
record_event_tr | |
record_field | |
record_field_real | |
record_generic | |
record_object | |
record_read_access | |
record_string | |
record_time | |
record_write_access | |
recorder | |
Recording | |
Recording Interface | |
Recording Macros | |
recursion_policy | |
reference | |
reg_ap | |
reg_seq | |
reg_seqr | |
reg2bus | |
register | |
Register Access Test Sequences | |
Register Callbacks | |
Register Defines | |
Register Layer | |
Register Sequence Classes | |
Registering Types | |
release_all_regions | |
release_region | |
remove | |
report | |
Report Macros | |
report_error_hook | |
report_fatal_hook | |
report_header | |
report_hook | |
report_info_hook | |
report_phase | |
report_summarize | |
report_warning_hook | |
Reporting | |
Reporting Classes | |
Reporting Interface | |
req_export | |
req_port | |
request_ap | |
request_region | |
Requests | |
reseed | |
reserve_region | |
reset | |
reset_blk | |
reset_phase | |
reset_quit_count | |
reset_report_handler | |
reset_severity_counts | |
resolve_bindings | |
Resources | |
Response API | |
response_ap | |
response_handler | |
Responses | |
result | |
resume | |
rg | |
rsp_export | |
rsp_port | |
run_hooks | |
run_phase | |
run_test | |
rw_info |
function int pack ( ref bit bitstream[], input uvm_packer packer = null )
function int pack_bytes ( ref byte unsigned bytestream[], input uvm_packer packer = null )
Packs an integral value (less than or equal to 4096 bits) into the packed array.
virtual function void pack_field ( uvm_bitstream_t value, int size )
Packs the integral value (less than or equal to 64 bits) into the pack array.
virtual function void pack_field_int ( logic[63:0] value, int size )
The pack methods bitwise-concatenate this object’s properties into an array of bits, bytes, or ints.
function int pack_ints ( ref int unsigned intstream[], input uvm_packer packer = null )
Packs an object value into the pack array.
virtual function void pack_object ( uvm_object value )
Packs a real value as 64 bits into the pack array.
virtual function void pack_real ( real value )
Packs a string value into the pack array.
virtual function void pack_string ( string value )
Packs a time value as 64 bits into the pack array.
virtual function void pack_time ( time value )
The sequence from which the operation originated.
rand uvm_sequence_base parent
Set this member in extensions of this class if the bus driver requires bus items be executed via a particular sequence base type.
uvm_sequence_base parent_sequence
The path being used: UVM_FRONTDOOR or UVM_BACKDOOR.
uvm_path_e path
Read the current value from a memory location
virtual task peek( output uvm_status_e status, input uvm_reg_addr_t offset, output uvm_reg_data_t value, input string kind = "", input uvm_sequence_base parent = null, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Sample a memory location in the region.
task peek( output uvm_status_e status, input uvm_reg_addr_t offset, output uvm_reg_data_t value, input uvm_sequence_base parent = null, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Read the current value from this register
virtual task peek( output uvm_status_e status, output uvm_reg_data_t value, input string kind = "", input uvm_sequence_base parent = null, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Read the current value from this field
virtual task peek ( output uvm_status_e status, output uvm_reg_data_t value, input string kind = "", input uvm_sequence_base parent = null, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Returns the current request item if one is in the sequencer fifo.
virtual task peek( output T1 t )
Obtain a new transaction without consuming it.
virtual task peek( output T2 t )
Sample the current value in a virtual register
virtual task peek( input longint unsigned idx, output uvm_status_e status, output uvm_reg_data_t value, input uvm_sequence_base parent = null, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Sample the current value from a virtual field
virtual task peek( input longint unsigned idx, output uvm_status_e status, output uvm_reg_data_t value, input uvm_sequence_base parent = null, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Peeks the given memory mem using uvm_mem::peek, supplying ‘this’ as the parent argument.
virtual task peek_mem( input uvm_mem mem, output uvm_status_e status, input uvm_reg_addr_t offset, output uvm_reg_data_t value, input string kind = "", input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Peeks the given register rg using uvm_reg::peek, supplying ‘this’ as the parent argument.
virtual task peek_reg( input uvm_reg rg, output uvm_status_e status, output uvm_reg_data_t value, input string kind = "", input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Invoked at the end of each phase.
virtual function void phase_ended ( uvm_phase phase )
Invoked when all objections to ending the given phase have been dropped, thus indicating that phase is ready to end.
virtual function void phase_ready_to_end ( uvm_phase phase )
Invoked at the start of each phase.
virtual function void phase_started ( uvm_phase phase )
This bit provides a filtering mechanism for fields.
bit physical = 1
This bit provides a filtering mechanism for fields.
bit physical = 1
This bit provides a filtering mechanism for fields.
bit physical = 1
Deposit the specified value in a memory location
virtual task poke( output uvm_status_e status, input uvm_reg_addr_t offset, input uvm_reg_data_t value, input string kind = "", input uvm_sequence_base parent = null, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Deposit in a memory location in the region.
task poke( output uvm_status_e status, input uvm_reg_addr_t offset, input uvm_reg_data_t value, input uvm_sequence_base parent = null, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Deposit the specified value in this register
virtual task poke( output uvm_status_e status, input uvm_reg_data_t value, input string kind = "", input uvm_sequence_base parent = null, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Deposit the specified value in this field
virtual task poke ( output uvm_status_e status, input uvm_reg_data_t value, input string kind = "", input uvm_sequence_base parent = null, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Deposit the specified value in a virtual register
virtual task poke( input longint unsigned idx, output uvm_status_e status, input uvm_reg_data_t value, input uvm_sequence_base parent = null, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Deposit the specified value in a virtual field
virtual task poke( input longint unsigned idx, output uvm_status_e status, input uvm_reg_data_t value, input uvm_sequence_base parent = null, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Pokes the given memory mem using uvm_mem::poke, supplying ‘this’ as the parent argument.
virtual task poke_mem( input uvm_mem mem, output uvm_status_e status, input uvm_reg_addr_t offset, input uvm_reg_data_t value, input string kind = "", input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Pokes the given register rg using uvm_reg::poke, supplying ‘this’ as the parent argument.
virtual task poke_reg( input uvm_reg rg, output uvm_status_e status, input uvm_reg_data_t value, input string kind = "", input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Determines whether comparison is UVM_DEEP, UVM_REFERENCE, or UVM_SHALLOW.
uvm_recursion_policy_enum policy = UVM_DEFAULT_POLICY
Returns the last element in the queue (index=size()-1), or null if the queue is empty.
virtual function T pop_back()
Returns the first element in the queue (index=0), or null if the queue is empty.
virtual function T pop_front()
This task is a user-definable callback task that is called after the execution of body only when the sequence is started with start.
virtual task post_body()
The uvm_post_configure_phase phase implementation method.
virtual task post_configure_phase( uvm_phase phase )
This function is a user-definable callback function that is called after the driver has indicated that it has completed the item, using either this item_done or put methods.
virtual function void post_do( uvm_sequence_item this_item )
The uvm_post_main_phase phase implementation method.
virtual task post_main_phase( uvm_phase phase )
Called by the uvm_reg_field::predict() method after a successful UVM_PREDICT_READ or UVM_PREDICT_WRITE prediction.
virtual function void post_predict( input uvm_reg_field fld, input uvm_reg_data_t previous, inout uvm_reg_data_t value, input uvm_predict_e kind, input uvm_path_e path, input uvm_reg_map map )
Clean-up this class instance after randomization
function void post_randomize()
Called after memory read.
virtual task post_read( uvm_reg_item rw )
Called after register read.
virtual task post_read( uvm_reg_item rw )
Called after user-defined backdoor register read.
virtual task post_read( uvm_reg_item rw )
Callback called after a read operation.
virtual task post_read( uvm_reg_item rw )
Called after field read.
virtual task post_read ( uvm_reg_item rw )
Called after virtual register read.
virtual task post_read( longint unsigned idx, ref uvm_reg_data_t rdat, input uvm_path_e path, input uvm_reg_map map, ref uvm_status_e status )
Called after register read.
virtual task post_read( uvm_vreg rg, longint unsigned idx, ref uvm_reg_data_t rdat, input uvm_path_e path, input uvm_reg_map map, ref uvm_status_e status )
Called after virtual field read.
virtual task post_read( longint unsigned idx, ref uvm_reg_data_t rdat, uvm_path_e path, uvm_reg_map map, ref uvm_status_e status )
Called after a virtual field read.
virtual task post_read( uvm_vreg_field field, longint unsigned idx, ref uvm_reg_data_t rdat, uvm_path_e path, uvm_reg_map map, ref uvm_status_e status )
The uvm_post_reset_phase phase implementation method.
virtual task post_reset_phase( uvm_phase phase )
The uvm_post_shutdown_phase phase implementation method.
virtual task post_shutdown_phase( uvm_phase phase )
This task is a user-definable callback that is called after the optional execution of post_body.
virtual task post_start()
This callback is called after triggering the associated event.
virtual function void post_trigger ( uvm_event e, uvm_object data = null )
Called after memory write.
virtual task post_write( uvm_reg_item rw )
Called after register write.
virtual task post_write( uvm_reg_item rw )
Called after user-defined backdoor register write.
virtual task post_write( uvm_reg_item rw )
Called after a write operation.
virtual task post_write( uvm_reg_item rw )
Called after field write.
virtual task post_write ( uvm_reg_item rw )
Called after virtual register write.
virtual task post_write( longint unsigned idx, uvm_reg_data_t wdat, uvm_path_e path, uvm_reg_map map, ref uvm_status_e status )
Called after register write.
virtual task post_write( uvm_vreg rg, longint unsigned idx, uvm_reg_data_t wdat, uvm_path_e path, uvm_reg_map map, ref uvm_status_e status )
Called after virtual field write
virtual task post_write( longint unsigned idx, uvm_reg_data_t wdat, uvm_path_e path, uvm_reg_map map, ref uvm_status_e status )
Called after a write operation
virtual task post_write( uvm_vreg_field field, longint unsigned idx, uvm_reg_data_t wdat, uvm_path_e path, uvm_reg_map map, ref uvm_status_e status )
This callback is executed when the message system is executing a UVM_EXIT action.
virtual function void pre_abort
This task is a user-definable callback that is called before the execution of body only when the sequence is started with start.
virtual task pre_body()
The uvm_pre_configure_phase phase implementation method.
virtual task pre_configure_phase( uvm_phase phase )
This task is a user-definable callback task that is called on the parent sequence, if any.the sequence has issued a wait_for_grant() call and after the sequencer has selected this sequence, and before the item is randomized.
virtual task pre_do( bit is_item )
The uvm_pre_main_phase phase implementation method.
virtual task pre_main_phase( uvm_phase phase )
Override this method to change the value or re-direct the target register
virtual function void pre_predict( uvm_reg_item rw )
Prepare this class instance for randomization
function void pre_randomize()
Called before memory read.
virtual task pre_read( uvm_reg_item rw )
Called before register read.
virtual task pre_read( uvm_reg_item rw )
Called before user-defined backdoor register read.
virtual task pre_read( uvm_reg_item rw )
Callback called before a read operation.
virtual task pre_read( uvm_reg_item rw )
Called before field read.
virtual task pre_read ( uvm_reg_item rw )
Special post-processing for a write() or update().
virtual task pre_read( uvm_reg_item rw )
Produces an error message and sets status to UVM_NOT_OK.
virtual task pre_read( uvm_reg_item rw )
Called before virtual register read.
virtual task pre_read( longint unsigned idx, ref uvm_path_e path, ref uvm_reg_map map )
Called before register read.
virtual task pre_read( uvm_vreg rg, longint unsigned idx, ref uvm_path_e path, ref uvm_reg_map map )
Called before virtual field read.
virtual task pre_read( longint unsigned idx, ref uvm_path_e path, ref uvm_reg_map map )
Called before a virtual field read.
virtual task pre_read( uvm_vreg_field field, longint unsigned idx, ref uvm_path_e path, ref uvm_reg_map map )
The uvm_pre_reset_phase phase implementation method.
virtual task pre_reset_phase( uvm_phase phase )
The uvm_pre_shutdown_phase phase implementation method.
virtual task pre_shutdown_phase( uvm_phase phase )
This task is a user-definable callback that is called before the optional execution of pre_body.
virtual task pre_start()
This callback is called just before triggering the associated event.
virtual function bit pre_trigger ( uvm_event e, uvm_object data = null )
Called before memory write.
virtual task pre_write( uvm_reg_item rw )
Called before register write.
virtual task pre_write( uvm_reg_item rw )
Called before user-defined backdoor register write.
virtual task pre_write( uvm_reg_item rw )
Called before a write operation.
virtual task pre_write( uvm_reg_item rw )
Called before field write.
virtual task pre_write ( uvm_reg_item rw )
Special pre-processing for a write() or update().
virtual task pre_write( uvm_reg_item rw )
Produces an error message and sets status to UVM_NOT_OK.
virtual task pre_write( uvm_reg_item rw )
Called before virtual register write.
virtual task pre_write( longint unsigned idx, ref uvm_reg_data_t wdat, ref uvm_path_e path, ref uvm_reg_map map )
Callback called before a write operation.
virtual task pre_write( uvm_vreg rg, longint unsigned idx, ref uvm_reg_data_t wdat, ref uvm_path_e path, ref uvm_reg_map map )
Called before virtual field write.
virtual task pre_write( longint unsigned idx, ref uvm_reg_data_t wdat, ref uvm_path_e path, ref uvm_reg_map map )
Callback called before a write operation.
virtual task pre_write( uvm_vreg_field field, longint unsigned idx, ref uvm_reg_data_t wdat, ref uvm_path_e path, ref uvm_reg_map map )
This variable is used to associate a precedence that a resource has with respect to other resources which match the same scope and name.
int unsigned precedence
Update the mirrored value for this register.
virtual function bit predict ( uvm_reg_data_t value, uvm_reg_byte_en_t be = -1, uvm_predict_e kind = UVM_PREDICT_DIRECT, uvm_path_e path = UVM_FRONTDOOR, uvm_reg_map map = null, string fname = "", int lineno = 0 )
Update the mirrored value for this field.
function bit predict ( uvm_reg_data_t value, uvm_reg_byte_en_t be = -1, uvm_predict_e kind = UVM_PREDICT_DIRECT, uvm_path_e path = UVM_FRONTDOOR, uvm_reg_map map = null, string fname = "", int lineno = 0 )
Specifies the string prepended to each output line
string prefix = ""
Returns the previous valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object.
function CB prev()
Returns the key of the previous item in the pool.
virtual function int prev ( ref KEY key )
Prints the state of the uvm_factory, including registered types, instance overrides, and type overrides.
function void print ( int all_types = 1 )
The print method deep-prints this object’s properties in a format and manner governed by the given printer argument; if the printer argument is not provided, the global uvm_default_printer is used.
function void print ( uvm_printer printer = null )
Dump the access records for this resource
virtual function void print_accessors()
Prints the header of a footer.
virtual function void print_array_footer ( int size = )
Prints the header of an array.
virtual function void print_array_header( string name, int size, string arraytype = "array", byte scope_separator = "." )
Prints a range using ellipses for values.
virtual function void print_array_range ( int min, int max )
Prints information about all of the report catchers that are registered.
static function void print_catcher( UVM_FILE file = )
Print_config_settings prints all configuration information for this component, as set by previous calls to set_config_* and exports to the resources pool.
function void print_config( bit recurse = 0, bit audit = 0 )
Setting this static variable causes get_config_* to print info about matching configuration settings as they are being applied.
static bit print_config_matches
Called without arguments, print_config_settings prints all configuration information for this component, as set by previous calls to set_config_*.
function void print_config_settings ( string field = "", uvm_component comp = null, bit recurse = 0 )
Operates the same as print_config except that the audit bit is forced to 1.
function void print_config_with_audit( bit recurse = 0 )
This bit determines if this component should automatically be printed as a child of its parent object.
bit print_enabled = 1
Prints a field having the given name, type_name, size, and value.
virtual function void print_generic ( string name, string type_name, int size, string value, byte scope_separator = "." )
Prints an integral field.
virtual function void print_int ( string name, uvm_bitstream_t value, int size, uvm_radix_enum radix = UVM_NORADIX, byte scope_separator = ".", string type_name = "" )
Causes the error count to be incremented and the message, msg, to be appended to the miscompares string (a newline is used to separate messages).
function void print_msg ( string msg )
Prints an object.
virtual function void print_object ( string name, uvm_object value, byte scope_separator = "." )
This factory debug method performs the same lookup process as create_object and create_component, but instead of creating an object, it prints information about what type of object would be created given the provided arguments.
function void print_override_info( string requested_type_name, string name = "" )
Print the resources that are in a single queue, rq.
function void print_resources( uvm_resource_types:: rsrc_q_t rq, bit audit = 0 )
Prints a string field.
virtual function void print_string ( string name, string value, byte scope_separator = "." )
Prints a time value.
virtual function void print_time ( string name, time value, byte scope_separator = "." )
Print the verification environment’s component topology.
function void print_topology ( uvm_printer printer = null )
The priority requested of this transfer, as defined by uvm_sequence_base::start_item.
int prior = -1
Calls compose_message to construct the actual message to be output.
virtual function void process_report( uvm_severity severity, string name, string id, string message, uvm_action action, UVM_FILE file, string filename, int line, string composed_message, int verbosity_level, uvm_report_object client )
Set this bit in extensions of this class if the bus driver provides separate response items.
bit provides_responses
Inserts the given item at the back of the queue.
virtual function void push_back( T item )
Inserts the given item at the front of the queue.
virtual function void push_front( T item )
Sends a response back to the sequence that issued the request.
virtual task put( input T2 t )
Sends a user-defined transaction of type T.
virtual task put( input T1 t )
Raises the number of objections for the source object by count, which defaults to 1.
virtual function void raise_objection ( uvm_object obj = null, string description = "", int count = 1 )
Raise an objection to ending this phase Provides components with greater control over the phase flow for processes which are not implicit objectors to the phase.
virtual function void raise_objection ( uvm_object obj, string description = "", int count = 1 )
Executes the uvm_objection_callback::raised method in the user callback class whenever this objection is raised at the object obj.
virtual function void raised ( uvm_object obj, uvm_object source_obj, string description, int count )
The raised callback is called when this or a descendant of this component instance raises the specfied objection.
virtual function void raised ( uvm_objection objection, uvm_object source_obj, string description, int count )
Objection callback that is called when a raise_objection has reached obj.
virtual function void raised ( uvm_object obj, uvm_object source_obj, string description, int count )
Objection raised callback function.
virtual function void raised ( uvm_objection objection, uvm_object obj, uvm_object source_obj, string description, int count )
Read the current value from a memory location
virtual task read( output uvm_status_e status, input uvm_reg_addr_t offset, output uvm_reg_data_t value, input uvm_path_e path = UVM_DEFAULT_PATH, input uvm_reg_map map = null, input uvm_sequence_base parent = null, input int prior = -1, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Read from a memory location in the region.
task read( output uvm_status_e status, input uvm_reg_addr_t offset, output uvm_reg_data_t value, input uvm_path_e path = UVM_DEFAULT_PATH, input uvm_reg_map map = null, input uvm_sequence_base parent = null, input int prior = -1, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Read the current value from this register
virtual task read( output uvm_status_e status, output uvm_reg_data_t value, input uvm_path_e path = UVM_DEFAULT_PATH, input uvm_reg_map map = null, input uvm_sequence_base parent = null, input int prior = -1, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
User-defined backdoor read operation.
virtual task read( uvm_reg_item rw )
Read the current value from this field
virtual task read ( output uvm_status_e status, output uvm_reg_data_t value, input uvm_path_e path = UVM_DEFAULT_PATH, input uvm_reg_map map = null, input uvm_sequence_base parent = null, input int prior = -1, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Return the object stored in the resource container.
function T read( uvm_object accessor = null )
Read the current value from a virtual register
virtual task read( input longint unsigned idx, output uvm_status_e status, output uvm_reg_data_t value, input uvm_path_e path = UVM_DEFAULT_PATH, input uvm_reg_map map = null, input uvm_sequence_base parent = null, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Read the current value from a virtual field
virtual task read( input longint unsigned idx, output uvm_status_e status, output uvm_reg_data_t value, input uvm_path_e path = UVM_DEFAULT_PATH, input uvm_reg_map map = null, input uvm_sequence_base parent = null, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
locate a resource by name and scope and read its value.
static function bit read_by_name( input string scope, input string name, inout T val, input uvm_object accessor = null )
Read a value by type.
static function bit read_by_type( input string scope, inout T val, input uvm_object accessor = null )
User-defined backdoor read operation.
virtual function void read_func( uvm_reg_item rw )
Reads the given memory mem using uvm_mem::read, supplying ‘this’ as the parent argument.
virtual task read_mem( input uvm_mem mem, output uvm_status_e status, input uvm_reg_addr_t offset, output uvm_reg_data_t value, input uvm_path_e path = UVM_DEFAULT_PATH, input uvm_reg_map map = null, input int prior = -1, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Read the named memory
virtual task read_mem_by_name( output uvm_status_e status, input string name, input uvm_reg_addr_t offset, output uvm_reg_data_t data, input uvm_path_e path = UVM_DEFAULT_PATH, input uvm_reg_map map = null, input uvm_sequence_base parent = null, input int prior = -1, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Reads the given register rg using uvm_reg::read, supplying ‘this’ as the parent argument.
virtual task read_reg( input uvm_reg rg, output uvm_status_e status, output uvm_reg_data_t value, input uvm_path_e path = UVM_DEFAULT_PATH, input uvm_reg_map map = null, input int prior = -1, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Read the named register
virtual task read_reg_by_name( output uvm_status_e status, input string name, output uvm_reg_data_t data, input uvm_path_e path = UVM_DEFAULT_PATH, input uvm_reg_map map = null, input uvm_sequence_base parent = null, input int prior = -1, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Reconfigure the manager
function uvm_mem_mam_cfg reconfigure( uvm_mem_mam_cfg cfg = null )
The record method deep-records this object’s properties according to an optional recorder policy.
function void record ( uvm_recorder recorder = null )
This function marks an error transaction by a component.
function integer record_error_tr ( string stream_name = "main", uvm_object info = null, string label = "error_tr", string desc = "", time error_time = 0, bit keep_active = 0 )
This function marks an event transaction by a component.
function integer record_event_tr ( string stream_name = "main", uvm_object info = null, string label = "event_tr", string desc = "", time event_time = 0, bit keep_active = 0 )
Records an integral field (less than or equal to 4096 bits).
virtual function void record_field ( string name, uvm_bitstream_t value, int size, uvm_radix_enum radix = UVM_NORADIX )
Records an real field.
virtual function void record_field_real ( string name, real value )
Records the name-value pair, where value has been converted to a string.
virtual function void record_generic ( string name, string value )
Records an object field.
virtual function void record_object ( string name, uvm_object value )
function void record_read_access( uvm_object accessor = null )
Records a string field.
virtual function void record_string ( string name, string value )
Records a time value.
virtual function void record_time ( string name, time value )
function void record_write_access( uvm_object accessor = null )
Specifies the uvm_recorder object to use for begin_tr and other methods in the Recording Interface.
uvm_recorder recorder
Sets the recursion policy for recording objects.
uvm_recursion_policy_enum policy = UVM_DEFAULT_POLICY
Controls whether to print a unique reference ID for object handles.
bit reference = 1
Analysis output port that publishes uvm_reg_item transactions converted from bus transactions received on bus_in.
uvm_analysis_port #( uvm_reg_item ) reg_ap
The sequence used to test one register
protected uvm_reg_single_access_seq reg_seq
The sequence used to test one register
protected uvm_reg_single_bit_bash_seq reg_seq
The sequence used to test one register
protected uvm_reg_shared_access_seq reg_seq
Layered upstream “register” sequencer.
uvm_sequencer #( uvm_reg_item ) reg_seqr
Extensions of this class must implement this method to convert the specified uvm_reg_bus_op to a corresponding uvm_sequence_item subtype that defines the bus transaction.
pure virtual function uvm_sequence_item reg2bus( const ref uvm_reg_bus_op rw )
Converts a uvm_reg_bus_op struct to a uvm_tlm_gp item.
virtual function uvm_sequence_item reg2bus( const ref uvm_reg_bus_op rw )
Registers the given proxy object, obj, with the factory.
function void register ( uvm_object_wrapper obj )
Forcibly release all allocated memory regions.
function void release_all_regions()
Release the specified region
function void release_region( uvm_mem_region region )
Release this region
function void release_region()
Dynamically un-implement a virtual register array
virtual function void release_region()
Remove a single component to the set of components being monitored.
function void remove ( uvm_component comp )
Remove this callback from the specified register and its contained fields.
static function void remove( uvm_reg rg )
Remove this callback from the specified register and its contained fields.
static function void remove( uvm_reg rg )
This is the common handler method used by the four core reporting methods (e.g., uvm_report_error) in uvm_report_object.
virtual function void report( uvm_severity severity, string name, string id, string message, int verbosity_level = UVM_MEDIUM, string filename = "", int line = 0, uvm_report_object client = null )
virtual function bit report_error_hook( string id, string message, int verbosity, string filename, int line )
virtual function bit report_fatal_hook( string id, string message, int verbosity, string filename, int line )
Prints version and copyright information.
virtual function void report_header( UVM_FILE file = 0 )
These hook methods can be defined in derived classes to perform additional actions when reports are issued.
virtual function bit report_hook( string id, string message, int verbosity, string filename, int line )
virtual function bit report_info_hook( string id, string message, int verbosity, string filename, int line )
The uvm_report_phase phase implementation method.
virtual function void report_phase( uvm_phase phase )
Outputs statistical information on the reports issued by the central report server.
virtual function void report_summarize( UVM_FILE file = 0 )
virtual function bit report_warning_hook( string id, string message, int verbosity, string filename, int line )
Request and reserve a memory region
function uvm_mem_region request_region( int unsigned n_bytes, uvm_mem_mam_policy alloc = null, string fname = "", int lineno = 0 )
Calls srandom on the object to reseed the object using the UVM seeding mechanism, which sets the seed based on type name and instance name instead of based on instance position in a thread.
function void reseed ()
Reserve a specific memory region
function uvm_mem_region reserve_region( bit [63:0] start_offset, int unsigned n_bytes, string fname = "", int lineno = 0 )
Resets the barrier.
virtual function void reset ( bit wakeup = 1 )
Resets the event to its off state.
virtual function void reset ( bit wakeup = )
Reset the desired/mirrored value for this register.
virtual function void reset( string kind = "HARD" )
Reset the mirror for this block.
virtual function void reset( string kind = "HARD" )
Reset the desired/mirrored value for this field.
virtual function void reset( string kind = "HARD" )
Reset the mirror for all registers in this address map.
virtual function void reset( string kind = "SOFT" )
Reset the value to 0
function void reset()
Reset the access semaphore
function void reset( string kind = "HARD" )
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk( uvm_reg_block blk )
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk( uvm_reg_block blk )
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk( uvm_reg_block blk )
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk( uvm_reg_block blk )
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk( uvm_reg_block blk )
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk( uvm_reg_block blk )
The uvm_reset_phase phase implementation method.
virtual task reset_phase( uvm_phase phase )
Set, get, increment, or reset to 0 the quit count, i.e., the number of COUNT actions issued.
function void reset_quit_count()
Resets the underlying report handler to its default settings.
function void reset_report_handler
Set, get, or increment the counter for the given severity, or reset all severity counters to 0.
function void reset_severity_counts()
Processes all port, export, and imp connections.
virtual function void resolve_bindings ()
This callback is called just before entering the end_of_elaboration phase.
virtual function void resolve_bindings()
When the use_reponse_handler bit is set to 1, this virtual task is called by the sequencer for each response that arrives for this sequence.
virtual function void response_handler( uvm_sequence_item response )
This bit stores the number of miscompares for a given compare operation.
int unsigned result = 0
Resume this component.
virtual task resume ()
The register to be tested
uvm_reg rg
The register to be tested
uvm_reg rg
The register to be tested
uvm_reg rg
The run_hooks method is called if the UVM_CALL_HOOK action is set for a report.
virtual function bit run_hooks( uvm_report_object client, uvm_severity severity, string id, string message, int verbosity, string filename, int line )
The uvm_run_phase phase implementation method.
virtual task run_phase( uvm_phase phase )
The push sequencer continuously selects from its list of available sequences and sends the next item from the selected sequence out its req_port using req_port.put(item).
task run_phase( uvm_phase phase )
Convenience function for uvm_top.run_test().
task run_test ( string test_name = "" )
Phases all components through all registered phases.
virtual task run_test ( string test_name = "" )
Holds information about the register being read or written
uvm_reg_item rw_info