Method Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
H
 has_child
 has_coverage
 has_do_available
 has_hdl_path
 has_lock
 has_reset
I
 ID
 implement
 include_coverage
 incr
 incr_id_count
 incr_quit_count
 incr_severity_count
 init_access_record
 insert
 is
 is_active
 is_after
 is_auditing
 is_auto_updated
 is_before
 is_blocked
 is_busy
 is_child
 is_dmi_allowed
 is_empty
 is_enabled
 is_export
 is_full
 is_grabbed
 is_hdl_path_root
 is_imp
 is_in_map
 is_indv_accessible
 is_item
 is_known_access
 is_locked
 is_null
 is_off
 is_on
 is_port
 is_quit_count_reached
 is_read
 is_read_only
 is_recording_enabled
 is_relevant
 is_response_error
 is_response_ok
 is_tracing
 is_unbounded
 is_volatile
 is_write
 issue
 item_done
J
 jump
 jump_all
K
 kill
L
 last
 last_req
 last_rsp
 lock
 lock_model
 lookup
 lookup_name
 lookup_regex
 lookup_regex_names
 lookup_scope
 lookup_type
M
 m_set_hier_mode
 main_phase
 match_scope
 max_size
 mid_do
 min_size
 mirror
 mirror_reg
N
 nb_transport
 nb_transport_bw
 nb_transport_fw
 needs_update
 new
uvm_*_export#(REQ,RSP)uvm_*_export#(T)uvm_*_imp#(REQ,RSP,IMP,REQ_IMP,RSP_IMP)uvm_*_imp#(T,IMP)uvm_*_port#(REQ,RSP)uvm_*_port#(T)uvm_agentuvm_algorithmic_comparator#(BEFORE,AFTER,TRANSFORMER)uvm_analysis_exportuvm_barrieruvm_bottomup_phaseuvm_built_in_pair#(T1,T2)uvm_callbackuvm_callback_iteruvm_class_pair#(T1,T2)uvm_componentuvm_domainuvm_driver#(REQ,RSP)uvm_envuvm_eventuvm_event_callbackuvm_heartbeatuvm_memuvm_mem_mamuvm_mem_single_walk_sequvm_monitoruvm_objectuvm_object_string_pool#(T)uvm_objectionuvm_phaseuvm_pool#(KEY,T)uvm_port_base#(IF)uvm_push_driver#(REQ,RSP)uvm_push_sequencer#(REQ,RSP)uvm_queue#(T)uvm_random_stimulus#(T)uvm_reguvm_reg_adapteruvm_reg_backdooruvm_reg_blockuvm_reg_fielduvm_reg_fifouvm_reg_fileuvm_reg_frontdooruvm_reg_indirect_datauvm_reg_itemuvm_reg_mapuvm_reg_predictoruvm_reg_sequenceuvm_report_catcheruvm_report_handleruvm_report_objectuvm_report_serveruvm_resource_baseuvm_scoreboarduvm_seq_item_pull_imp#(REQ,RSP,IMP)uvm_sequence#(REQ,RSP)uvm_sequence_baseuvm_sequence_itemuvm_sequencer#(REQ,RSP)uvm_sequencer_baseuvm_sequencer_param_base#(REQ,RSP)uvm_subscriberuvm_task_phaseuvm_testuvm_tlm_analysis_fifouvm_tlm_b_initiator_socketuvm_tlm_b_target_socketuvm_tlm_extensionuvm_tlm_extension_baseuvm_tlm_fifouvm_tlm_fifo_base#(T)uvm_tlm_generic_payloaduvm_tlm_nb_initiator_socketuvm_tlm_nb_target_socketuvm_tlm_nb_transport_bw_exportuvm_tlm_nb_transport_bw_portuvm_tlm_req_rsp_channel#(REQ,RSP)uvm_tlm_timeuvm_tlm_transport_channel#(REQ,RSP)uvm_topdown_phaseuvm_transactionuvm_vreguvm_vreg_field
 next
 num
P
 pack
 pack_bytes
 pack_field
 pack_field_int
 pack_ints
 pack_object
 pack_real
 pack_string
 pack_time
 peek
 peek_mem
 peek_reg
 phase_ended
 phase_ready_to_end
 phase_started
 poke
 poke_mem
 poke_reg
 pop_back
 pop_front
 post_body
 post_configure_phase
 post_do
 post_main_phase
 post_predict
 post_randomize
 post_read
 post_reset_phase
 post_shutdown_phase
 post_start
 post_trigger
 post_write
 pre_abort
 pre_body
 pre_configure_phase
 pre_do
 pre_main_phase
 pre_predict
 pre_randomize
 pre_read
 pre_reset_phase
 pre_shutdown_phase
 pre_start
 pre_trigger
 pre_write
 predict
 prev
 print
 print_accessors
 print_array_footer
 print_array_header
 print_array_range
 print_catcher
 print_config
 print_config_settings
 print_config_with_audit
 print_generic
 print_int
 print_msg
 print_object
 print_override_info
 print_resources
 print_string
 print_time
 print_topology
 process_report
 push_back
 push_front
 put
function int has_child ( string  name )
Returns 1 if this component has a child with the given name, 0 otherwise.
virtual function bit has_coverage( uvm_reg_cvr_t  models )
Check if memory has coverage model(s)
virtual function bit has_coverage( uvm_reg_cvr_t  models )
Check if register has coverage model(s)
virtual function bit has_coverage( uvm_reg_cvr_t  models )
Check if block has coverage model(s)
virtual function bit has_do_available()
Returns 1 if any sequence running on this sequencer is ready to supply a transaction, 0 otherwise.
virtual function bit has_do_available()
Indicates whether a sequence item is available for immediate processing.
function bit has_hdl_path ( string  kind  =  "" )
Check if a HDL path is specified
function bit has_hdl_path ( string  kind  =  "" )
Check if a HDL path is specified
function bit has_hdl_path ( string  kind  =  "" )
Check if a HDL path is specified
function bit has_hdl_path ( string  kind  =  "" )
Check if a HDL path is specified
function bit has_lock()
Returns 1 if this sequence has a lock, 0 otherwise.
function bit has_lock( uvm_sequence_base  sequence_ptr )
Returns 1 if the sequence refered to in the parameter currently has a lock on this sequencer, 0 otherwise.
virtual function bit has_reset( string  kind  =  "HARD",
bit  delete  =  0 )
Check if any field in the register has a reset value specified for the specified reset kind.
virtual function bit has_reset( string  kind  =  "HARD",
bit  delete  =  0 )
Check if the field has a reset value specified
static function this_type ID()
Return the unique ID of this TLM extension type.
virtual function bit implement( longint  unsigned  n,   
uvm_mem  mem  =  null,
uvm_reg_addr_t  offset  =  0,
int  unsigned  incr  =  0 )
Dynamically implement, resize or relocate a virtual register array
static function void include_coverage( string  scope,   
uvm_reg_cvr_t  models,   
uvm_object  accessor  =  null )
Specify which coverage model that must be included in various block, register or memory abstraction class instances.
function void incr( real  t,   
time  scaled,   
real  secs  =  1.0e-9 )
Increment the time value by the specified number of scaled time unit
function void incr_id_count( string  id )
Set, get, or increment the counter for reports with the given id.
function void incr_quit_count()
function void incr_severity_count( uvm_severity  severity )
function void init_access_record (
    inout uvm_resource_types:: access_t  access_record
)
Initalize a new access record
virtual function void insert ( int  index,
item )
Inserts the item at the given index in the queue.
function bit is( uvm_phase  phase )
returns 1 if the containing uvm_phase refers to the same phase as the phase argument, 0 otherwise
function bit is_active ()
Returns 1 if the transaction has been started but has not yet been ended.
function bit is_after( uvm_phase  phase )
returns 1 if the containing uvm_phase refers to a phase that is later than the phase argument, 0 otherwise
static function bit is_auditing()
Returns 1 if the auditing facility is on and 0 if it is off.
virtual function bit is_auto_updated( uvm_reg_field  field )
Indicates if wait_for_change() method is implemented
function bit is_before( uvm_phase  phase )
Returns 1 if the containing uvm_phase refers to a phase that is earlier than the phase argument, 0 otherwise
function bit is_blocked()
Returns a bit indicating whether this sequence is currently prevented from running due to another lock or grab.
function bit is_blocked( uvm_sequence_base  sequence_ptr )
Returns 1 if the sequence referred to by sequence_ptr is currently locked out of the sequencer.
function bit is_busy()
Returns 1 if register is currently being read or written.
function bit is_child ( uvm_sequence_base  parent,
uvm_sequence_base  child )
Returns 1 if the child sequence is a child of the parent sequence, 0 otherwise.
virtual function bit is_dmi_allowed()
DMI hint.
virtual function bit is_empty()
Returns 1 when there are no entries in the FIFO, 0 otherwise.
function bit is_enabled()
Returns 1 if the callback is enabled, 0 otherwise.
function bit is_export ()
pure virtual function bit is_export()
virtual function bit is_full()
Returns 1 when the number of entries in the FIFO is equal to its size, 0 otherwise.
virtual function bit is_grabbed()
Returns 1 if any sequence currently has a lock or grab on this sequencer, 0 otherwise.
function bit is_hdl_path_root ( string  kind  =  "" )
Check if this block has an absolute path
function bit is_imp ()
Returns 1 if this port is of the type given by the method name, 0 otherwise.
pure virtual function bit is_imp()
These function determine the type of port.
function bit is_in_map ( uvm_reg_map  map )
Return TRUE if this memory is in the specified address map
function bit is_in_map ( uvm_reg_map  map )
Returns 1 if this register is in the specified address map
function bit is_in_map ( uvm_reg_map  map )
Return TRUE if this virtual register array is in the specified address map
function bit is_indv_accessible ( uvm_path_e  path,
uvm_reg_map  local_map )
Check if this field can be written individually, i.e.
virtual function bit is_item()
Returns 1 on items and 0 on sequences.
virtual function bit is_item()
This function may be called on any sequence_item or sequence.
virtual function bit is_known_access( uvm_reg_map  map  =  null )
Check if access policy is a built-in one.
function bit is_locked()
Return TRUE if the model is locked.
virtual function bit is_null ()
This method is used during unpack operations to peek at the next 4-bit chunk of the pack data and determine if it is 0.
virtual function bit is_off ()
Indicates whether the event has been triggered or been reset.
virtual function bit is_on ()
Indicates whether the event has been triggered since it was last reset.
function bit is_port ()
pure virtual function bit is_port()
function bit is_quit_count_reached()
If is_quit_count_reached returns 1, then the quit counter has reached the maximum.
virtual function bit is_read()
Returns true if the current value of the m_command variable is UVM_TLM_READ_COMMAND.
function bit is_read_only()
Retruns one if this resource has been set to read-only, zero otherwise
function bit is_recording_enabled()
Returns 1 if recording is currently on, 0 otherwise.
virtual function bit is_relevant()
The default is_relevant implementation returns 1, indicating that the sequence is always relevant.
virtual function bit is_response_error()
Return TRUE if the current value of the m_response_status variable is not UVM_TLM_OK_RESPONSE
virtual function bit is_response_ok()
Return TRUE if the current value of the m_response_status variable is UVM_TLM_OK_RESPONSE
static function bit is_tracing()
Returns 1 if the tracing facility is on and 0 if it is off.
static function bit is_tracing()
Returns 1 if the tracing facility is on and 0 if it is off.
function bit is_unbounded ()
Returns 1 if this port has no maximum on the number of implementation ports this port can connect to.
virtual function bit is_volatile()
Indicates if the field value is volatile
virtual function bit is_write()
Returns true if the current value of the m_command variable is UVM_TLM_WRITE_COMMAND.
protected function void issue()
Immediately issues the message which is currently being processed.
virtual function void item_done( input  T2  t  =  null )
Indicates that the request is completed to the sequencer.
function void jump( uvm_phase  phase )
jumps all active phases of this domain to to-phase if there is a path between active-phase and to-phase
function void jump( uvm_phase  phase )
Jump to a specified phase.
static function void jump_all( uvm_phase  phase )
Make all schedules jump to a specified phase, even if the jump target is local.
function void kill()
This function will kill the sequence, and cause all current locks and requests in the sequence’s default sequencer to be removed.
function CB last()
Returns the last valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object.
virtual function int last ( ref  KEY  key )
Returns the key of the last item stored in the pool.
function REQ last_req( int  unsigned  n  =  0 )
Returns the last request item by default.
function RSP last_rsp( int  unsigned  n  =  0 )
Returns the last response item by default.
task lock( uvm_sequencer_base  sequencer  =  null )
Requests a lock on the specified sequencer.
virtual task lock( uvm_sequence_base  sequence_ptr )
Requests a lock for the sequence specified by sequence_ptr.
virtual function void lock_model()
Lock a model and build the address map.
function uvm_component lookup ( string  name )
Looks for a component with the given hierarchical name relative to this component.
function uvm_resource_types::rsrc_q_t lookup_name(
    string  scope  =  "",
    string  name,   
    uvm_resource_base  type_handle  =  null,
    bit  rpterr  =  1
)
Lookup resources by name.
function uvm_resource_types::rsrc_q_t lookup_regex( string  re,
  scope )
Looks for all the resources whose name matches the regular expression argument and whose scope matches the current scope.
function uvm_resource_types::rsrc_q_t lookup_regex_names(
    string  scope,   
    string  name,   
    uvm_resource_base  type_handle  =  null
)
This utility function answers the question, for a given name, scope,and type_handle, what are all of the resources with a matching name (where the resource name may be a regular expression), a matching scope (where the resoucre scope may be a regular expression), and a matching type? 
function uvm_resource_types::rsrc_q_t lookup_scope( string  scope )
This is a utility function that answers the question: For a given scope, what resources are visible to it? 
function uvm_resource_types::rsrc_q_t lookup_type( string  scope  =  "",
uvm_resource_base  type_handle    )
Lookup resources by type.
function void m_set_hier_mode ( uvm_object  obj )
Hierarchical mode only needs to be set for intermediate components, not for uvm_root or a leaf component.
virtual task main_phase( uvm_phase  phase )
The uvm_main_phase phase implementation method.
function bit match_scope( string  s )
Using the regular expression facility, determine if this resource is visible in a scope.
Returns the maximum number of implementation ports that must be connected to this port by the end_of_elaboration phase.
virtual function void mid_do( uvm_sequence_item  this_item )
This function is a user-definable callback function that is called after the sequence item has been randomized, and just before the item is sent to the driver.
Returns the mininum number of implementation ports that must be connected to this port by the end_of_elaboration phase.
virtual task mirror( output  uvm_status_e  status,   
input  uvm_check_e  check  =  UVM_NO_CHECK,
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read the register and update/check its mirror value
virtual task mirror( output  uvm_status_e  status,   
input  uvm_check_e  check  =  UVM_NO_CHECK,
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Update the mirrored values
virtual task mirror( output  uvm_status_e  status,   
input  uvm_check_e  check  =  UVM_NO_CHECK,
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read the field and update/check its mirror value
Reads the next value out of the DUT FIFO.
virtual task mirror_reg( input  uvm_reg  rg,   
output  uvm_status_e  status,   
input  uvm_check_e  check  =  UVM_NO_CHECK,
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Mirrors the given register rg using uvm_reg::mirror, supplying ‘this’ as the parent argument.
virtual function bit nb_transport( input  T1  req,
output  T2  rsp )
Executes the given request and returns the response in the given output argument.
virtual function uvm_tlm_sync_e nb_transport_bw( t,
ref  p,
input  uvm_tlm_time  delay )
Implementation of the backward path.
virtual function uvm_tlm_sync_e nb_transport_fw( t,
ref  p,
input  uvm_tlm_time  delay )
Forward path call.
virtual function bit needs_update()
Returns 1 if any of the fields need updating
virtual function bit needs_update()
Check if DUT registers need to be written
virtual function bit needs_update()
Check if the abstract model contains different desired and mirrored values.
The name and parent are the standard uvm_component constructor arguments.
The name and parent are the standard uvm_component constructor arguments.
Creates a new bidirectional imp port with the given name and parent.
Creates a new unidirectional imp port with the given name and parent.
The name and parent are the standard uvm_component constructor arguments.
The name and parent are the standard uvm_component constructor arguments.
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new( string  name,   
uvm_component  parent  =  null,
TRANSFORMER  transformer  =  null )
Creates an instance of a specialization of this class.
function new ( string  name,   
uvm_component  parent  =  null )
Instantiate the export.
function new ( string  name  =  "",
int  threshold  =  0 )
Creates a new barrier object.
function new( string  name )
Create a new instance of a bottom-up phase.
function new ( string  name  =  "" )
Creates an instance that holds two built-in type values.
function new( string  name  =  "uvm_callback" )
Creates a new uvm_callback object, giving it an optional name.
function new( obj )
Creates a new callback iterator object.
function new ( string  name  =  "",
T1  f  =  null,
T2  s  =  null )
Creates an instance that holds a handle to two objects.
function new ( string  name,
uvm_component  parent )
Creates a new component with the given leaf instance name and handle to to its parent.
function new( string  name )
Create a new instance of a phase domain.
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new ( string  name  =  "env",
uvm_component  parent  =  null )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new ( string  name  =  "" )
Creates a new event object.
function new ( string  name  =  "" )
Creates a new callback object.
function new( string  name,   
uvm_component  cntxt,   
uvm_callbacks_objection  objection  =  null )
Creates a new heartbeat instance associated with cntxt.
function new ( string  name,   
longint  unsigned  size,   
int  unsigned  n_bits,   
string  access  =  "RW",
int  has_coverage  =  UVM_NO_COVERAGE )
Create a new instance and type-specific configuration
function new( string  name,   
uvm_mem_mam_cfg  cfg,   
uvm_mem  mem  =  null )
Create a new manager instance
function new( string  name  =  "uvm_mem_walk_seq" )
Creates a new instance of the class with the given name.
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new ( string  name  =  "" )
Creates a new uvm_object with the given instance name.
function new ( string  name  =  "" )
Creates a new pool with the given name.
function new( string  name  =  "" )
Creates a new objection instance.
function new( string  name  =  "uvm_phase",
uvm_phase_type  phase_type  =  UVM_PHASE_SCHEDULE,
uvm_phase  parent  =  null )
Create a new phase node, with a name and a note of its type name - name of this phase type - task, topdown func or bottomup func
function new ( string  name  =  "" )
Creates a new pool with the given name.
function new ( string  name,   
uvm_component  parent,   
uvm_port_type_e  port_type,   
int  min_size  =  0,
int  max_size  =  1 )
The first two arguments are the normal uvm_component constructor arguments.
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new ( string  name,   
uvm_component  parent  =  null )
Standard component constructor that creates an instance of this class using the given name and parent, if any.
function new ( string  name  =  "" )
Creates a new queue with the given name.
function new( string  name,
uvm_component  parent )
Creates a new instance of a specialization of this class.
function new ( string  name  =  "",
int  unsigned  n_bits,   
int  has_coverage    )
Create a new instance and type-specific configuration
function new( string  name  =  "" )
Create a new instance of this type, giving it the optional name.
function new( string  name  =  "" )
Create an instance of this class
function new( string  name  =  "",
int  has_coverage  =  UVM_NO_COVERAGE )
Create a new instance and type-specific configuration
function new( string  name  =  "uvm_reg_field" )
Create a new field instance
function new( string  name  =  "reg_fifo",
int  unsigned  size,   
int  unsigned  n_bits,   
int  has_cover    )
Creates an instance of a FIFO register having size elements of n_bits each.
function new ( string  name  =  "" )
Create a new instance
function new( string  name  =  "" )
Constructor, new object givne optional name.
function new( string  name  =  "uvm_reg_indirect",
int  unsigned  n_bits,   
int  has_cover    )
Create an instance of this class
function new( string  name  =  "" )
Create a new instance of this type, giving it the optional name.
function new( string  name  =  "uvm_reg_map" )
Create a new instance
function new ( string  name,
uvm_component  parent )
Create a new instance of this type, giving it the optional name and parent.
function new ( string  name  =  "uvm_reg_sequence_inst" )
Create a new instance, giving it the optional name.
function new( string  name  =  "uvm_report_catcher" )
Create a new report catcher.
function new()
Creates and initializes a new uvm_report_handler object.
function new( string  name  =  "" )
Creates a new report object with the given name.
function new()
Creates the central report server, if not already created.
function new( string  name  =  "",
string  s  =  "*" )
constructor for uvm_resource_base.
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new ( string  name  =  "uvm_sequence" )
Creates and initializes a new sequence object.
function new ( string  name  =  "uvm_sequence" )
The constructor for uvm_sequence_base.
function new ( string  name  =  "uvm_sequence_item" )
The constructor method for uvm_sequence_item.
function new ( string  name,   
uvm_component  parent  =  null )
Standard component constructor that creates an instance of this class using the given name and parent, if any.
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent.
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new( string  name )
Create a new instance of a task-based phase
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new( string  name  ,   
uvm_component  parent  =  null )
This is the standard uvm_component constructor.
function new( string  name,
uvm_component  parent )
Construct a new instance of this socket
function new ( string  name,   
uvm_component  parent,   
IMP  imp  =  null )
Construct a new instance of this socket imp is a reference to the class implementing the b_transport() method.
function new( string  name  =  "" )
creates a new extension object.
function new( string  name  =  "" )
function new( string  name,   
uvm_component  parent  =  null,
int  size  =  1 )
The name and parent are the normal uvm_component constructor arguments.
function new( string  name,   
uvm_component  parent  =  null )
The name and parent are the normal uvm_component constructor arguments.
function new( string  name  =  "" )
Create a new instance of the generic payload.
function new( string  name,   
uvm_component  parent,   
IMP  imp  =  null )
Construct a new instance of this socket imp is a reference to the class implementing the nb_transport_bw() method.
function new ( string  name,   
uvm_component  parent,   
IMP  imp  =  null )
Construct a new instance of this socket imp is a reference to the class implementing the nb_transport_fw() method.
function new ( string  name,   
uvm_component  parent  =  null,
int  request_fifo_size  =  1,
int  response_fifo_size  =  1 )
The name and parent are the standard uvm_component constructor arguments.
function new( string  name  =  "uvm_tlm_time",
real  res  =  0 )
Create a new canonical time value.
function new ( string  name,   
uvm_component  parent  =  null )
The name and parent are the standard uvm_component constructor arguments.
function new( string  name )
Create a new instance of a top-down phase
function new ( string  name  =  "",
uvm_component  initiator  =  null )
Creates a new transaction object.
function new( string  name,
int  unsigned  n_bits )
Create a new instance and type-specific configuration
function new( string  name  =  "uvm_vreg_field" )
Create a new virtual field instance
function CB next()
Returns the next valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object.
virtual function int next ( ref  KEY  key )
Returns the key of the next item in the pool.
virtual function int num ()
Returns the number of uniquely keyed items stored in the pool.
function int pack ( ref  bit  bitstream[],   
input  uvm_packer  packer  =  null )
function int pack_bytes ( ref byte  unsigned  bytestream[],   
input  uvm_packer  packer  =  null )
virtual function void pack_field ( uvm_bitstream_t  value,
int  size )
Packs an integral value (less than or equal to 4096 bits) into the packed array.
virtual function void pack_field_int ( logic[63:0]  value,
int  size )
Packs the integral value (less than or equal to 64 bits) into the pack array.
function int pack_ints ( ref int  unsigned  intstream[],   
input  uvm_packer  packer  =  null )
The pack methods bitwise-concatenate this object’s properties into an array of bits, bytes, or ints.
virtual function void pack_object ( uvm_object  value )
Packs an object value into the pack array.
virtual function void pack_real ( real  value )
Packs a real value as 64 bits into the pack array.
virtual function void pack_string ( string  value )
Packs a string value into the pack array.
virtual function void pack_time ( time  value )
Packs a time value as 64 bits into the pack array.
virtual task peek( output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
output  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read the current value from a memory location
task peek( output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
output  uvm_reg_data_t  value,   
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Sample a memory location in the region.
virtual task peek( output  uvm_status_e  status,   
output  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read the current value from this register
virtual task peek ( output  uvm_status_e  status,   
output  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read the current value from this field
virtual task peek( output  T1  t )
Returns the current request item if one is in the sequencer fifo.
virtual task peek( output  T2  t )
Obtain a new transaction without consuming it.
virtual task peek( input longint  unsigned  idx,   
output  uvm_status_e  status,   
output  uvm_reg_data_t  value,   
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Sample the current value in a virtual register
virtual task peek( input longint  unsigned  idx,   
output  uvm_status_e  status,   
output  uvm_reg_data_t  value,   
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Sample the current value from a virtual field
virtual task peek_mem( input  uvm_mem  mem,   
output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
output  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Peeks the given memory mem using uvm_mem::peek, supplying ‘this’ as the parent argument.
virtual task peek_reg( input  uvm_reg  rg,   
output  uvm_status_e  status,   
output  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Peeks the given register rg using uvm_reg::peek, supplying ‘this’ as the parent argument.
virtual function void phase_ended ( uvm_phase  phase )
Invoked at the end of each phase.
virtual function void phase_ready_to_end ( uvm_phase  phase )
Invoked when all objections to ending the given phase have been dropped, thus indicating that phase is ready to end.
virtual function void phase_started ( uvm_phase  phase )
Invoked at the start of each phase.
virtual task poke( output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
input  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Deposit the specified value in a memory location
task poke( output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
input  uvm_reg_data_t  value,   
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Deposit in a memory location in the region.
virtual task poke( output  uvm_status_e  status,   
input  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Deposit the specified value in this register
virtual task poke ( output  uvm_status_e  status,   
input  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Deposit the specified value in this field
virtual task poke( input longint  unsigned  idx,   
output  uvm_status_e  status,   
input  uvm_reg_data_t  value,   
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Deposit the specified value in a virtual register
virtual task poke( input longint  unsigned  idx,   
output  uvm_status_e  status,   
input  uvm_reg_data_t  value,   
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Deposit the specified value in a virtual field
virtual task poke_mem( input  uvm_mem  mem,   
output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
input  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Pokes the given memory mem using uvm_mem::poke, supplying ‘this’ as the parent argument.
virtual task poke_reg( input  uvm_reg  rg,   
output  uvm_status_e  status,   
input  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Pokes the given register rg using uvm_reg::poke, supplying ‘this’ as the parent argument.
virtual function T pop_back()
Returns the last element in the queue (index=size()-1), or null if the queue is empty.
virtual function T pop_front()
Returns the first element in the queue (index=0), or null if the queue is empty.
virtual task post_body()
This task is a user-definable callback task that is called after the execution of body only when the sequence is started with start.
virtual task post_configure_phase( uvm_phase  phase )
The uvm_post_configure_phase phase implementation method.
virtual function void post_do( uvm_sequence_item  this_item )
This function is a user-definable callback function that is called after the driver has indicated that it has completed the item, using either this item_done or put methods.
virtual task post_main_phase( uvm_phase  phase )
The uvm_post_main_phase phase implementation method.
virtual function void post_predict( input  uvm_reg_field  fld,
input  uvm_reg_data_t  previous,
inout  uvm_reg_data_t  value,
input  uvm_predict_e  kind,
input  uvm_path_e  path,
input  uvm_reg_map  map )
Called by the uvm_reg_field::predict() method after a successful UVM_PREDICT_READ or UVM_PREDICT_WRITE prediction.
function void post_randomize()
Clean-up this class instance after randomization
virtual task post_read( uvm_reg_item  rw )
Called after memory read.
virtual task post_read( uvm_reg_item  rw )
Called after register read.
virtual task post_read( uvm_reg_item  rw )
Called after user-defined backdoor register read.
virtual task post_read( uvm_reg_item  rw )
Callback called after a read operation.
virtual task post_read ( uvm_reg_item  rw )
Called after field read.
virtual task post_read( longint  unsigned  idx,
ref  uvm_reg_data_t  rdat,
input  uvm_path_e  path,
input  uvm_reg_map  map,
ref  uvm_status_e  status )
Called after virtual register read.
virtual task post_read( uvm_vreg  rg,
longint  unsigned  idx,
ref  uvm_reg_data_t  rdat,
input  uvm_path_e  path,
input  uvm_reg_map  map,
ref  uvm_status_e  status )
Called after register read.
virtual task post_read( longint  unsigned  idx,
ref  uvm_reg_data_t  rdat,
uvm_path_e  path,
uvm_reg_map  map,
ref  uvm_status_e  status )
Called after virtual field read.
virtual task post_read( uvm_vreg_field  field,
longint  unsigned  idx,
ref  uvm_reg_data_t  rdat,
uvm_path_e  path,
uvm_reg_map  map,
ref  uvm_status_e  status )
Called after a virtual field read.
virtual task post_reset_phase( uvm_phase  phase )
The uvm_post_reset_phase phase implementation method.
virtual task post_shutdown_phase( uvm_phase  phase )
The uvm_post_shutdown_phase phase implementation method.
virtual task post_start()
This task is a user-definable callback that is called after the optional execution of post_body.
virtual function void post_trigger ( uvm_event  e,   
uvm_object  data  =  null )
This callback is called after triggering the associated event.
virtual task post_write( uvm_reg_item  rw )
Called after memory write.
virtual task post_write( uvm_reg_item  rw )
Called after register write.
virtual task post_write( uvm_reg_item  rw )
Called after user-defined backdoor register write.
virtual task post_write( uvm_reg_item  rw )
Called after a write operation.
virtual task post_write ( uvm_reg_item  rw )
Called after field write.
virtual task post_write( longint  unsigned  idx,
uvm_reg_data_t  wdat,
uvm_path_e  path,
uvm_reg_map  map,
ref  uvm_status_e  status )
Called after virtual register write.
virtual task post_write( uvm_vreg  rg,
longint  unsigned  idx,
uvm_reg_data_t  wdat,
uvm_path_e  path,
uvm_reg_map  map,
ref  uvm_status_e  status )
Called after register write.
virtual task post_write( longint  unsigned  idx,
uvm_reg_data_t  wdat,
uvm_path_e  path,
uvm_reg_map  map,
ref  uvm_status_e  status )
Called after virtual field write
virtual task post_write( uvm_vreg_field  field,
longint  unsigned  idx,
uvm_reg_data_t  wdat,
uvm_path_e  path,
uvm_reg_map  map,
ref  uvm_status_e  status )
Called after a write operation
virtual function void pre_abort
This callback is executed when the message system is executing a UVM_EXIT action.
virtual task pre_body()
This task is a user-definable callback that is called before the execution of body only when the sequence is started with start.
virtual task pre_configure_phase( uvm_phase  phase )
The uvm_pre_configure_phase phase implementation method.
virtual task pre_do( bit  is_item )
This task is a user-definable callback task that is called on the parent sequence, if any.the sequence has issued a wait_for_grant() call and after the sequencer has selected this sequence, and before the item is randomized.
virtual task pre_main_phase( uvm_phase  phase )
The uvm_pre_main_phase phase implementation method.
virtual function void pre_predict( uvm_reg_item  rw )
Override this method to change the value or re-direct the target register
function void pre_randomize()
Prepare this class instance for randomization
virtual task pre_read( uvm_reg_item  rw )
Called before memory read.
virtual task pre_read( uvm_reg_item  rw )
Called before register read.
virtual task pre_read( uvm_reg_item  rw )
Called before user-defined backdoor register read.
virtual task pre_read( uvm_reg_item  rw )
Callback called before a read operation.
virtual task pre_read ( uvm_reg_item  rw )
Called before field read.
virtual task pre_read( uvm_reg_item  rw )
Special post-processing for a write() or update().
virtual task pre_read( uvm_reg_item  rw )
Produces an error message and sets status to UVM_NOT_OK.
virtual task pre_read( longint  unsigned  idx,
ref  uvm_path_e  path,
ref  uvm_reg_map  map )
Called before virtual register read.
virtual task pre_read( uvm_vreg  rg,
longint  unsigned  idx,
ref  uvm_path_e  path,
ref  uvm_reg_map  map )
Called before register read.
virtual task pre_read( longint  unsigned  idx,
ref  uvm_path_e  path,
ref  uvm_reg_map  map )
Called before virtual field read.
virtual task pre_read( uvm_vreg_field  field,
longint  unsigned  idx,
ref  uvm_path_e  path,
ref  uvm_reg_map  map )
Called before a virtual field read.
virtual task pre_reset_phase( uvm_phase  phase )
The uvm_pre_reset_phase phase implementation method.
virtual task pre_shutdown_phase( uvm_phase  phase )
The uvm_pre_shutdown_phase phase implementation method.
virtual task pre_start()
This task is a user-definable callback that is called before the optional execution of pre_body.
virtual function bit pre_trigger ( uvm_event  e,   
uvm_object  data  =  null )
This callback is called just before triggering the associated event.
virtual task pre_write( uvm_reg_item  rw )
Called before memory write.
virtual task pre_write( uvm_reg_item  rw )
Called before register write.
virtual task pre_write( uvm_reg_item  rw )
Called before user-defined backdoor register write.
virtual task pre_write( uvm_reg_item  rw )
Called before a write operation.
virtual task pre_write ( uvm_reg_item  rw )
Called before field write.
virtual task pre_write( uvm_reg_item  rw )
Special pre-processing for a write() or update().
virtual task pre_write( uvm_reg_item  rw )
Produces an error message and sets status to UVM_NOT_OK.
virtual task pre_write( longint  unsigned  idx,
ref  uvm_reg_data_t  wdat,
ref  uvm_path_e  path,
ref  uvm_reg_map  map )
Called before virtual register write.
virtual task pre_write( uvm_vreg  rg,
longint  unsigned  idx,
ref  uvm_reg_data_t  wdat,
ref  uvm_path_e  path,
ref  uvm_reg_map  map )
Callback called before a write operation.
virtual task pre_write( longint  unsigned  idx,
ref  uvm_reg_data_t  wdat,
ref  uvm_path_e  path,
ref  uvm_reg_map  map )
Called before virtual field write.
virtual task pre_write( uvm_vreg_field  field,
longint  unsigned  idx,
ref  uvm_reg_data_t  wdat,
ref  uvm_path_e  path,
ref  uvm_reg_map  map )
Callback called before a write operation.
virtual function bit predict ( uvm_reg_data_t  value,   
uvm_reg_byte_en_t  be  =  -1,
uvm_predict_e  kind  =  UVM_PREDICT_DIRECT,
uvm_path_e  path  =  UVM_FRONTDOOR,
uvm_reg_map  map  =  null,
string  fname  =  "",
int  lineno  =  0 )
Update the mirrored value for this register.
function bit predict ( uvm_reg_data_t  value,   
uvm_reg_byte_en_t  be  =  -1,
uvm_predict_e  kind  =  UVM_PREDICT_DIRECT,
uvm_path_e  path  =  UVM_FRONTDOOR,
uvm_reg_map  map  =  null,
string  fname  =  "",
int  lineno  =  0 )
Update the mirrored value for this field.
function CB prev()
Returns the previous valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object.
virtual function int prev ( ref  KEY  key )
Returns the key of the previous item in the pool.
function void print ( int  all_types  =  1 )
Prints the state of the uvm_factory, including registered types, instance overrides, and type overrides.
function void print ( uvm_printer  printer  =  null )
The print method deep-prints this object’s properties in a format and manner governed by the given printer argument; if the printer argument is not provided, the global uvm_default_printer is used.
virtual function void print_accessors()
Dump the access records for this resource
virtual function void print_array_footer ( int  size  =  )
Prints the header of a footer.
virtual function void print_array_header( string  name,   
int  size,   
string  arraytype  =  "array",
byte  scope_separator  =  "." )
Prints the header of an array.
virtual function void print_array_range ( int  min,
int  max )
Prints a range using ellipses for values.
static function void print_catcher( UVM_FILE  file  =  )
Prints information about all of the report catchers that are registered.
function void print_config( bit  recurse  =  0,
bit  audit  =  0 )
Print_config_settings prints all configuration information for this component, as set by previous calls to set_config_* and exports to the resources pool.
function void print_config_settings ( string  field  =  "",
uvm_component  comp  =  null,
bit  recurse  =  0 )
Called without arguments, print_config_settings prints all configuration information for this component, as set by previous calls to set_config_*.
function void print_config_with_audit( bit  recurse  =  0 )
Operates the same as print_config except that the audit bit is forced to 1.
virtual function void print_generic ( string  name,   
string  type_name,   
int  size,   
string  value,   
byte  scope_separator  =  "." )
Prints a field having the given name, type_name, size, and value.
virtual function void print_int ( string  name,   
uvm_bitstream_t  value,   
int  size,   
uvm_radix_enum  radix  =  UVM_NORADIX,
byte  scope_separator  =  ".",
string  type_name  =  "" )
Prints an integral field.
function void print_msg ( string  msg )
Causes the error count to be incremented and the message, msg, to be appended to the miscompares string (a newline is used to separate messages).
virtual function void print_object ( string  name,   
uvm_object  value,   
byte  scope_separator  =  "." )
Prints an object.
function void print_override_info( string  requested_type_name,   
string  name  =  "" )
This factory debug method performs the same lookup process as create_object and create_component, but instead of creating an object, it prints information about what type of object would be created given the provided arguments.
function void print_resources( uvm_resource_types:: rsrc_q_t  rq,   
bit  audit  =  0 )
Print the resources that are in a single queue, rq.
virtual function void print_string ( string  name,   
string  value,   
byte  scope_separator  =  "." )
Prints a string field.
virtual function void print_time ( string  name,   
time  value,   
byte  scope_separator  =  "." )
Prints a time value.
function void print_topology ( uvm_printer  printer  =  null )
Print the verification environment’s component topology.
virtual function void process_report( uvm_severity  severity,
string  name,
string  id,
string  message,
uvm_action  action,
UVM_FILE  file,
string  filename,
int  line,
string  composed_message,
int  verbosity_level,
uvm_report_object  client )
Calls compose_message to construct the actual message to be output.
virtual function void push_back( item )
Inserts the given item at the back of the queue.
virtual function void push_front( item )
Inserts the given item at the front of the queue.
virtual task put( input  T2  t )
Sends a response back to the sequence that issued the request.
virtual task put( input  T1  t )
Sends a user-defined transaction of type T.