Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
V
 value
 Variables
 verbosity
 Virtual Register Field Classes
 Virtual Registers
W
 wait_for
 wait_for_change
 wait_for_grant
 wait_for_item_done
 wait_for_relevant
 wait_for_sequence_state
 wait_for_sequences
 wait_for_state
 wait_modified
 wait_off
 wait_on
 wait_ptrigger
 wait_ptrigger_data
 wait_trigger
 wait_trigger_data
 What users should do as soon as possible
 Why is this necessary
 Why uvm_object constructors are now mandatory
 write
 write_by_name
 write_by_type
 write_mem
 write_mem_by_name
 write_reg
 write_reg_by_name
rand uvm_reg_data_t value
Mirrored field value.
rand uvm_reg_data_t value[]
The value to write to, or after completion, the value read from the DUT.
int unsigned verbosity = UVM_LOW
Sets the verbosity for printed messages.
This section defines the virtual field and callback classes.
A virtual register is a collection of fields, overlaid on top of a memory, usually in an array.
virtual task wait_for()
Waits for enough processes to reach the barrier before continuing.
task wait_for( uvm_objection_event  objt_event,   
uvm_object  obj  =  null )
Waits for the raised, dropped, or all_dropped event to occur in the given obj.
virtual local task wait_for_change( uvm_object  element )
Wait for a change in the value of the register or memory element in the DUT.
virtual task wait_for_grant( int  item_priority  =  -1,
bit  lock_request  =  0 )
This task issues a request to the current sequencer.
virtual task wait_for_grant( uvm_sequence_base  sequence_ptr,   
int  item_priority  =  -1,
bit  lock_request  =  0 )
This task issues a request for the specified sequence.
virtual task wait_for_item_done( int  transaction_id  =  -1 )
A sequence may optionally call wait_for_item_done.
virtual task wait_for_item_done( uvm_sequence_base  sequence_ptr,
int  transaction_id )
A sequence may optionally call wait_for_item_done.
virtual task wait_for_relevant()
This method is called by the sequencer when all available sequences are not relevant.
task wait_for_sequence_state( uvm_sequence_state_enum  state )
Waits until the sequence reaches the given state.
virtual task wait_for_sequences()
Waits for a sequence to have a new item available.
virtual task wait_for_sequences()
Waits for a sequence to have a new item available.
task wait_for_state( uvm_phase_state  state,   
uvm_wait_op  op  =  UVM_EQ )
Wait until this phase compares with the given state and op operand.
static task wait_modified( uvm_component  cntxt,
string  inst_name,
string  field_name )
Wait for a configuration setting to be set for field_name in cntxt and inst_name.
task wait_modified()
This task blocks until the resource has been modified -- that is, a uvm_resource#(T)::write operation has been performed.
virtual task wait_off ( bit  delta  =  )
If the event has already triggered and is “on”, this task waits for the event to be turned “off” via a call to reset.
virtual task wait_on ( bit  delta  =  )
Waits for the event to be activated for the first time.
virtual task wait_ptrigger ()
Waits for a persistent trigger of the event.
virtual task wait_ptrigger_data ( output  uvm_object  data )
This method calls wait_ptrigger followed by get_trigger_data.
virtual task wait_trigger ()
Waits for the event to be triggered.
virtual task wait_trigger_data ( output  uvm_object  data )
This method calls wait_trigger followed by get_trigger_data.
In UVM 1.1a, the behavior of the UVM object factory retains its previous (if incorrect) behavior by default.
Integers are not sufficient, on their own, to represent time without any ambiguity: you need to know the scale of that integer value.
The UVM recommends that the following constructor be specified for any class extended from uvm_object:
function void write ( input  t )
Send specified value to all connected interface
virtual task write( output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
input  uvm_reg_data_t  value,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Write the specified value in a memory location
task write( output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
input  uvm_reg_data_t  value,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Write to a memory location in the region.
virtual task write( output  uvm_status_e  status,   
input  uvm_reg_data_t  value,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Write the specified value in this register
virtual task write( uvm_reg_item  rw )
User-defined backdoor write operation.
virtual task write ( output  uvm_status_e  status,   
input  uvm_reg_data_t  value,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Write the specified value in this field
Pushes the given value to the DUT FIFO.
function void write( t,   
uvm_object  accessor  =  null )
Modify the object stored in this resource container.
pure virtual function void write( t )
A pure virtual method that must be defined in each subclass.
virtual function void write( input  T1  t )
Broadcasts a user-defined transaction of type T to any number of listeners.
virtual task write( input longint  unsigned  idx,   
output  uvm_status_e  status,   
input  uvm_reg_data_t  value,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Write the specified value in a virtual register
virtual task write( input longint  unsigned  idx,   
output  uvm_status_e  status,   
input  uvm_reg_data_t  value,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Write the specified value in a virtual field
static function bit write_by_name( input  string  scope,   
input  string  name,   
val,   
input  uvm_object  accessor  =  null )
write a val into the resources database.
static function bit write_by_type( input  string  scope,   
input  val,   
input  uvm_object  accessor  =  null )
write a val into the resources database.
virtual task write_mem( input  uvm_mem  mem,   
output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
input  uvm_reg_data_t  value,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Writes the given memory mem using uvm_mem::write, supplying ‘this’ as the parent argument.
virtual task write_mem_by_name( output  uvm_status_e  status,   
input  string  name,   
input  uvm_reg_addr_t  offset,   
input  uvm_reg_data_t  data,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Write the named memory
virtual task write_reg( input  uvm_reg  rg,   
output  uvm_status_e  status,   
input  uvm_reg_data_t  value,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Writes the given register rg using uvm_reg::write, supplying ‘this’ as the parent argument.
virtual task write_reg_by_name( output  uvm_status_e  status,   
input  string  name,   
input  uvm_reg_data_t  data,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Write the named register