Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
P
 pack
 pack_bits
 pack_bytes
 pack_field
 pack_field_int
 pack_ints
 pack_object
 pack_real
 pack_string
 pack_time
 Packing
 Packing Macros
 Packing-No Size Info
 Packing-With Size Info
 pair_ap
 parent
 parent_sequence
 path
 peek
 peek_mem
 peek_reg
 Phase Done Objection
 phase_ended
 phase_ready_to_end
 phase_started
 phase_state_change
 Phasing
 Phasing Definition classes
 Phasing Implementation
 Phasing Interface
 Phasing Overview
 physical
 poke
 poke_mem
 poke_reg
 policy
 Policy Classes
 Pool Classes
 pop_back
 pop_front
 Port Base Classes
 Port Type
 Ports
 Ports,Exports,and Imps
 post_body
 post_configure_phase
 post_do
 post_main_phase
 post_predict
 post_randomize
 post_read
 post_reset_phase
 post_shutdown_phase
 post_start
 post_trigger
 post_write
 pre_abort
 pre_body
 pre_configure_phase
 pre_do
 pre_main_phase
 pre_predict
 pre_randomize
 pre_read
 pre_reset_phase
 pre_shutdown_phase
 pre_start
 pre_trigger
 pre_write
 precedence
 Predefined Component Classes
 Predefined Extensions
 predict
 prefix
 prev
 print
 print_accessors
 print_array_footer
 print_array_header
 print_array_range
 print_catcher
 print_config
 print_config_matches
 print_config_settings
 print_config_with_audit
 print_enabled
 print_field
 print_field_int
 print_generic
 print_msg
 print_object
 print_override_info
 print_real
 print_resources
 print_string
 print_time
 print_topology
 Printing
 prior
 Priority
 process_report_message
 provides_responses
 push_back
 push_front
 put
 Put
 put_ap
 put_export
 put_request_export
 put_response
 put_response_export
Q
 Quit Count
function int pack (
    ref  bit  bitstream[],   
    input  uvm_packer  packer  =  null
)
virtual function void pack_bits(
    ref  bit  value[],   
    input  int  size  =  -1
)
Packs bits from upacked array of bits into the pack array.
function int pack_bytes (
    ref byte  unsigned  bytestream[],   
    input  uvm_packer  packer  =  null
)
virtual function void pack_bytes(
    ref  byte  value[],   
    input  int  size  =  -1
)
Packs bits from an upacked array of bytes into the pack array.
virtual function void pack_field (
    uvm_bitstream_t  value,
    int  size
)
Packs an integral value (less than or equal to 4096 bits) into the packed array.
virtual function void pack_field_int (
    uvm_integral_t  value,
    int  size
)
Packs the integral value (less than or equal to 64 bits) into the pack array.
function int pack_ints (
    ref int  unsigned  intstream[],   
    input  uvm_packer  packer  =  null
)
The pack methods bitwise-concatenate this object’s properties into an array of bits, bytes, or ints.
virtual function void pack_ints(
    ref  int  value[],   
    input  int  size  =  -1
)
Packs bits from an unpacked array of ints into the pack array.
virtual function void pack_object (
    uvm_object  value
)
Packs an object value into the pack array.
virtual function void pack_real (
    real  value
)
Packs a real value as 64 bits into the pack array.
virtual function void pack_string (
    string  value
)
Packs a string value into the pack array.
virtual function void pack_time (
    time  value
)
Packs a time value as 64 bits into the pack array.
The packing macros assist users who implement the uvm_object::do_pack method.
The comparator sends out pairs of transactions across this analysis port.
rand uvm_sequence_base parent
The sequence from which the operation originated.
uvm_sequence_base parent_sequence
Set this member in extensions of this class if the bus driver requires bus items be executed via a particular sequence base type.
uvm_path_e path
The path being used: UVM_FRONTDOOR or UVM_BACKDOOR.
virtual task peek(
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    output  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the current value from a memory location
task peek(
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    output  uvm_reg_data_t  value,   
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Sample a memory location in the region.
virtual task peek(
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the current value from this register
virtual task peek (
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the current value from this field
task peek (
    output  REQ  t
)
Returns the current request item if one is in the FIFO.
virtual task peek(
    output  T1  t
)
Returns the current request item if one is in the sequencer FIFO.
virtual task peek(
    output  T2  t
)
Obtain a new transaction without consuming it.
virtual task peek(
    input longint  unsigned  idx,   
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Sample the current value in a virtual register
virtual task peek(
    input longint  unsigned  idx,   
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Sample the current value from a virtual field
virtual task peek_mem(
    input  uvm_mem  mem,   
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    output  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Peeks the given memory mem using uvm_mem::peek, supplying ‘this’ as the parent argument.
virtual task peek_reg(
    input  uvm_reg  rg,   
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Peeks the given register rg using uvm_reg::peek, supplying ‘this’ as the parent argument.
Task-based phase nodes within the phasing graph provide a uvm_objection based interface for prolonging the execution of the phase.
virtual function void phase_ended (
    uvm_phase  phase
)
Invoked at the end of each phase.
virtual function void phase_ready_to_end (
    uvm_phase  phase
)
Invoked when all objections to ending the given phase and all sibling phases have been dropped, thus indicating that phase is ready to begin a clean exit.
virtual function void phase_started (
    uvm_phase  phase
)
Invoked at the start of each phase.
virtual function void phase_state_change(
    uvm_phase  phase,
    uvm_phase_state_change  change
)
Called whenever a phase changes state.
The following class are used to specify a phase and its implied functionality.
The API described here provides a general purpose testbench phasing solution, consisting of a phaser machine, traversing a master schedule graph, which is built by the integrator from one or more instances of template schedules provided by UVM or by 3rd-party VIP, and which supports implicit or explicit synchronization, runtime control of threads and jumps.
These methods implement an interface which allows all components to step through a standard schedule of phases, or a customized schedule, and also an API to allow independent phase domains which can jump like state machines to reflect behavior e.g.
UVM implements an automated mechanism for phasing the execution of the various components in a testbench.
bit physical = 1
This bit provides a filtering mechanism for fields.
bit physical = 1
This bit provides a filtering mechanism for fields.
bit physical = 1
This bit provides a filtering mechanism for fields.
virtual task poke(
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    input  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Deposit the specified value in a memory location
task poke(
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    input  uvm_reg_data_t  value,   
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Deposit in a memory location in the region.
virtual task poke(
    output  uvm_status_e  status,   
    input  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Deposit the specified value in this register
virtual task poke (
    output  uvm_status_e  status,   
    input  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Deposit the specified value in this field
virtual task poke(
    input longint  unsigned  idx,   
    output  uvm_status_e  status,   
    input  uvm_reg_data_t  value,   
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Deposit the specified value in a virtual register
virtual task poke(
    input longint  unsigned  idx,   
    output  uvm_status_e  status,   
    input  uvm_reg_data_t  value,   
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Deposit the specified value in a virtual field
virtual task poke_mem(
    input  uvm_mem  mem,   
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    input  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Pokes the given memory mem using uvm_mem::poke, supplying ‘this’ as the parent argument.
virtual task poke_reg(
    input  uvm_reg  rg,   
    output  uvm_status_e  status,   
    input  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Pokes the given register rg using uvm_reg::poke, supplying ‘this’ as the parent argument.
uvm_recursion_policy_enum policy = UVM_DEFAULT_POLICY
Determines whether comparison is UVM_DEEP, UVM_REFERENCE, or UVM_SHALLOW.
Policy classes are used to implement polymorphic operations that differ between built-in types and class-based types.
This section defines the uvm_pool #(KEY, T) class and derivative.
virtual function T pop_back()
Returns the last element in the queue (index=size()-1), or null if the queue is empty.
virtual function T pop_front()
Returns the first element in the queue (index=0), or null if the queue is empty.
The UVM provides unidirectional ports, exports, and implementation ports for connecting your components via the TLM interfaces.
virtual task post_body()
This task is a user-definable callback task that is called after the execution of body only when the sequence is started with start.
virtual task post_configure_phase(
    uvm_phase  phase
)
The uvm_post_configure_phase phase implementation method.
virtual function void post_do(
    uvm_sequence_item  this_item
)
This function is a user-definable callback function that is called after the driver has indicated that it has completed the item, using either this item_done or put methods.
virtual task post_main_phase(
    uvm_phase  phase
)
The uvm_post_main_phase phase implementation method.
virtual function void post_predict(
    input  uvm_reg_field  fld,
    input  uvm_reg_data_t  previous,
    inout  uvm_reg_data_t  value,
    input  uvm_predict_e  kind,
    input  uvm_path_e  path,
    input  uvm_reg_map  map
)
Called by the uvm_reg_field::predict() method after a successful UVM_PREDICT_READ or UVM_PREDICT_WRITE prediction.
function void post_randomize()
Clean-up this class instance after randomization
virtual task post_read(
    uvm_reg_item  rw
)
Called after memory read.
virtual task post_read(
    uvm_reg_item  rw
)
Called after register read.
virtual task post_read(
    uvm_reg_item  rw
)
Called after user-defined backdoor register read.
virtual task post_read(
    uvm_reg_item  rw
)
Callback called after a read operation.
virtual task post_read (
    uvm_reg_item  rw
)
Called after field read.
virtual task post_read(
    longint  unsigned  idx,
    ref  uvm_reg_data_t  rdat,
    input  uvm_path_e  path,
    input  uvm_reg_map  map,
    ref  uvm_status_e  status
)
Called after virtual register read.
virtual task post_read(
    uvm_vreg  rg,
    longint  unsigned  idx,
    ref  uvm_reg_data_t  rdat,
    input  uvm_path_e  path,
    input  uvm_reg_map  map,
    ref  uvm_status_e  status
)
Called after register read.
virtual task post_read(
    longint  unsigned  idx,
    ref  uvm_reg_data_t  rdat,
    uvm_path_e  path,
    uvm_reg_map  map,
    ref  uvm_status_e  status
)
Called after virtual field read.
virtual task post_read(
    uvm_vreg_field  field,
    longint  unsigned  idx,
    ref  uvm_reg_data_t  rdat,
    uvm_path_e  path,
    uvm_reg_map  map,
    ref  uvm_status_e  status
)
Called after a virtual field read.
virtual task post_reset_phase(
    uvm_phase  phase
)
The uvm_post_reset_phase phase implementation method.
virtual task post_shutdown_phase(
    uvm_phase  phase
)
The uvm_post_shutdown_phase phase implementation method.
virtual task post_start()
This task is a user-definable callback that is called after the optional execution of post_body.
virtual function void post_trigger (
    uvm_event#(T)  e,
    data
)
This callback is called after triggering the associated event.
virtual task post_write(
    uvm_reg_item  rw
)
Called after memory write.
virtual task post_write(
    uvm_reg_item  rw
)
Called after register write.
virtual task post_write(
    uvm_reg_item  rw
)
Called after user-defined backdoor register write.
virtual task post_write(
    uvm_reg_item  rw
)
Called after a write operation.
virtual task post_write (
    uvm_reg_item  rw
)
Called after field write.
virtual task post_write(
    longint  unsigned  idx,
    uvm_reg_data_t  wdat,
    uvm_path_e  path,
    uvm_reg_map  map,
    ref  uvm_status_e  status
)
Called after virtual register write.
virtual task post_write(
    uvm_vreg  rg,
    longint  unsigned  idx,
    uvm_reg_data_t  wdat,
    uvm_path_e  path,
    uvm_reg_map  map,
    ref  uvm_status_e  status
)
Called after register write.
virtual task post_write(
    longint  unsigned  idx,
    uvm_reg_data_t  wdat,
    uvm_path_e  path,
    uvm_reg_map  map,
    ref  uvm_status_e  status
)
Called after virtual field write
virtual task post_write(
    uvm_vreg_field  field,
    longint  unsigned  idx,
    uvm_reg_data_t  wdat,
    uvm_path_e  path,
    uvm_reg_map  map,
    ref  uvm_status_e  status
)
Called after a write operation
virtual function void pre_abort
This callback is executed when the message system is executing a UVM_EXIT action.
virtual task pre_body()
This task is a user-definable callback that is called before the execution of body only when the sequence is started with start.
virtual task pre_configure_phase(
    uvm_phase  phase
)
The uvm_pre_configure_phase phase implementation method.
virtual task pre_do(
    bit  is_item
)
This task is a user-definable callback task that is called on the parent sequence, if any sequence has issued a wait_for_grant() call and after the sequencer has selected this sequence, and before the item is randomized.
virtual task pre_main_phase(
    uvm_phase  phase
)
The uvm_pre_main_phase phase implementation method.
virtual function void pre_predict(
    uvm_reg_item  rw
)
Override this method to change the value or re-direct the target register
function void pre_randomize()
Prepare this class instance for randomization
virtual task pre_read(
    uvm_reg_item  rw
)
Called before memory read.
virtual task pre_read(
    uvm_reg_item  rw
)
Called before register read.
virtual task pre_read(
    uvm_reg_item  rw
)
Called before user-defined backdoor register read.
virtual task pre_read(
    uvm_reg_item  rw
)
Callback called before a read operation.
virtual task pre_read (
    uvm_reg_item  rw
)
Called before field read.
virtual task pre_read(
    uvm_reg_item  rw
)
Special post-processing for a write() or update().
virtual task pre_read(
    uvm_reg_item  rw
)
Produces an error message and sets status to UVM_NOT_OK.
virtual task pre_read(
    longint  unsigned  idx,
    ref  uvm_path_e  path,
    ref  uvm_reg_map  map
)
Called before virtual register read.
virtual task pre_read(
    uvm_vreg  rg,
    longint  unsigned  idx,
    ref  uvm_path_e  path,
    ref  uvm_reg_map  map
)
Called before register read.
virtual task pre_read(
    longint  unsigned  idx,
    ref  uvm_path_e  path,
    ref  uvm_reg_map  map
)
Called before virtual field read.
virtual task pre_read(
    uvm_vreg_field  field,
    longint  unsigned  idx,
    ref  uvm_path_e  path,
    ref  uvm_reg_map  map
)
Called before a virtual field read.
virtual task pre_reset_phase(
    uvm_phase  phase
)
The uvm_pre_reset_phase phase implementation method.
virtual task pre_shutdown_phase(
    uvm_phase  phase
)
The uvm_pre_shutdown_phase phase implementation method.
virtual task pre_start()
This task is a user-definable callback that is called before the optional execution of pre_body.
virtual function bit pre_trigger (
    uvm_event#(T)  e,
    data
)
This callback is called just before triggering the associated event.
virtual task pre_write(
    uvm_reg_item  rw
)
Called before memory write.
virtual task pre_write(
    uvm_reg_item  rw
)
Called before register write.
virtual task pre_write(
    uvm_reg_item  rw
)
Called before user-defined backdoor register write.
virtual task pre_write(
    uvm_reg_item  rw
)
Called before a write operation.
virtual task pre_write (
    uvm_reg_item  rw
)
Called before field write.
virtual task pre_write(
    uvm_reg_item  rw
)
Special pre-processing for a write() or update().
virtual task pre_write(
    uvm_reg_item  rw
)
Produces an error message and sets status to UVM_NOT_OK.
virtual task pre_write(
    longint  unsigned  idx,
    ref  uvm_reg_data_t  wdat,
    ref  uvm_path_e  path,
    ref  uvm_reg_map  map
)
Called before virtual register write.
virtual task pre_write(
    uvm_vreg  rg,
    longint  unsigned  idx,
    ref  uvm_reg_data_t  wdat,
    ref  uvm_path_e  path,
    ref  uvm_reg_map  map
)
Callback called before a write operation.
virtual task pre_write(
    longint  unsigned  idx,
    ref  uvm_reg_data_t  wdat,
    ref  uvm_path_e  path,
    ref  uvm_reg_map  map
)
Called before virtual field write.
virtual task pre_write(
    uvm_vreg_field  field,
    longint  unsigned  idx,
    ref  uvm_reg_data_t  wdat,
    ref  uvm_path_e  path,
    ref  uvm_reg_map  map
)
Callback called before a write operation.
int unsigned precedence
This variable is used to associate a precedence that a resource has with respect to other resources which match the same scope and name.
Components form the foundation of the UVM.
virtual function bit predict (
    uvm_reg_data_t  value,   
    uvm_reg_byte_en_t  be  =  -1,
    uvm_predict_e  kind  =  UVM_PREDICT_DIRECT,
    uvm_path_e  path  =  UVM_FRONTDOOR,
    uvm_reg_map  map  =  null,
    string  fname  =  "",
    int  lineno  =  0
)
Update the mirrored and desired value for this register.
function bit predict (
    uvm_reg_data_t  value,   
    uvm_reg_byte_en_t  be  =  -1,
    uvm_predict_e  kind  =  UVM_PREDICT_DIRECT,
    uvm_path_e  path  =  UVM_FRONTDOOR,
    uvm_reg_map  map  =  null,
    string  fname  =  "",
    int  lineno  =  0
)
Update the mirrored and desired value for this field.
string prefix = ""
Specifies the string prepended to each output line
function CB prev()
Returns the previous valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object.
virtual function int prev (
    ref  KEY  key
)
Returns the key of the previous item in the pool.
virtual function void print (
    int  all_types  =  1
)
Prints the state of the uvm_factory, including registered types, instance overrides, and type overrides.
The uvm_report_server implements the uvm_object::do_print() such that print method provides UVM printer formatted output of the current configuration.
pure virtual function void print (
    int  all_types  =  1
)
Prints the state of the uvm_factory, including registered types, instance overrides, and type overrides.
function void print (
    uvm_printer  printer  =  null
)
The print method deep-prints this object’s properties in a format and manner governed by the given printer argument; if the printer argument is not provided, the global uvm_default_printer is used.
virtual function void do_print (
    uvm_printer  printer
)
The uvm_report_handler implements the uvm_object::do_print() such that print method provides UVM printer formatted output of the current configuration.
virtual function void do_print(
    uvm_printer  printer
)
The uvm_report_message implements uvm_object::do_print() such that print method provides UVM printer formatted output of the message.
virtual function void print_accessors()
Dump the access records for this resource
virtual function void print_array_footer (
    int  size  =  0
)
Prints the header of a footer.
virtual function void print_array_header(
    string  name,   
    int  size,   
    string  arraytype  =  "array",
    byte  scope_separator  =  "."
)
Prints the header of an array.
virtual function void print_array_range (
    int  min,
    int  max
)
Prints a range using ellipses for values.
static function void print_catcher(
    UVM_FILE  file  =  0
)
Prints information about all of the report catchers that are registered.
function void print_config(
    bit  recurse  =  0,
    bit  audit  =  0
)
Print_config_settings prints all configuration information for this component, as set by previous calls to uvm_config_db::set() and exports to the resources pool.
static bit print_config_matches
Setting this static variable causes uvm_config_db::get() to print info about matching configuration settings as they are being applied.
function void print_config_settings (
    string  field  =  "",
    uvm_component  comp  =  null,
    bit  recurse  =  0
)
Called without arguments, print_config_settings prints all configuration information for this component, as set by previous calls to uvm_config_db::set().
function void print_config_with_audit(
    bit  recurse  =  0
)
Operates the same as print_config except that the audit bit is forced to 1.
bit print_enabled = 1
This bit determines if this component should automatically be printed as a child of its parent object.
virtual function void print_field (
    string  name,   
    uvm_bitstream_t  value,   
    int  size,   
    uvm_radix_enum  radix  =  UVM_NORADIX,
    byte  scope_separator  =  ".",
    string  type_name  =  ""
)
Prints an integral field (up to 4096 bits).
virtual function void print_field_int (
    string  name,   
    uvm_integral_t  value,   
    int  size,   
    uvm_radix_enum  radix  =  UVM_NORADIX,
    byte  scope_separator  =  ".",
    string  type_name  =  ""
)
Prints an integral field (up to 64 bits).
virtual function void print_generic (
    string  name,   
    string  type_name,   
    int  size,   
    string  value,   
    byte  scope_separator  =  "."
)
Prints a field having the given name, type_name, size, and value.
function void print_msg (
    string  msg
)
Causes the error count to be incremented and the message, msg, to be appended to the miscompares string (a newline is used to separate messages).
virtual function void print_object (
    string  name,   
    uvm_object  value,   
    byte  scope_separator  =  "."
)
Prints an object.
function void print_override_info(
    string  requested_type_name,   
    string  name  =  ""
)
This factory debug method performs the same lookup process as create_object and create_component, but instead of creating an object, it prints information about what type of object would be created given the provided arguments.
virtual function void print_real (
    string  name,   
    real  value,   
    byte  scope_separator  =  "."
)
Prints a real field.
function void print_resources(
    uvm_resource_types:: rsrc_q_t  rq,   
    bit  audit  =  0
)
Print the resources that are in a single queue, rq.
virtual function void print_string (
    string  name,   
    string  value,   
    byte  scope_separator  =  "."
)
Prints a string field.
virtual function void print_time (
    string  name,   
    time  value,   
    byte  scope_separator  =  "."
)
Prints a time value.
function void print_topology (
    uvm_printer  printer  =  null
)
Print the verification environment’s component topology.
int prior = -1
The priority requested of this transfer, as defined by uvm_sequence_base::start_item.
Functions for manipulating the search priority of resources.
Functions for manipulating the search priority of resources.
virtual function void process_report_message(
    uvm_report_message  report_message
)
This is the common handler method used by the four core reporting methods (e.g.
bit provides_responses
Set this bit in extensions of this class if the bus driver provides separate response items.
virtual function void push_back(
    item
)
Inserts the given item at the back of the queue.
virtual function void push_front(
    item
)
Inserts the given item at the front of the queue.
virtual task put (
    RSP  t
)
Sends a response back to the sequence that issued the request.
virtual task put(
    input  T2  t
)
Sends a response back to the sequence that issued the request.
virtual task put(
    input  T1  t
)
Sends a user-defined transaction of type T.
The put interfaces are used to send, or put, transactions to other components.
Transactions passed via put or try_put (via any port connected to the put_export) are sent out this port via its write method.
The put_export provides both the blocking and non-blocking put interface methods to any attached port:
The put_export provides both the blocking and non-blocking put interface methods to the request FIFO:
virtual function void put_response(
    input  T2  t
)
Sends a response back to the sequence that issued the request.
The put_export provides both the blocking and non-blocking put interface methods to the response FIFO: