uvm_scoreboard

The uvm_scoreboard virtual class should be used as the base class for user-defined scoreboards.

Deriving from uvm_scoreboard will allow you to distinguish scoreboards from other component types inheriting directly from uvm_component.  Such scoreboards will automatically inherit and benefit from features that may be added to uvm_scoreboard in the future.

Summary
uvm_scoreboard
The uvm_scoreboard virtual class should be used as the base class for user-defined scoreboards.
Class Hierarchy
Class Declaration
virtual class uvm_scoreboard extends uvm_component
Methods
newCreates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.

new

function new ( string  name,
uvm_component  parent )

Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.

The uvm_void class is the base class for all UVM classes.
virtual class uvm_object extends uvm_void
The uvm_object class is the base class for all UVM data and hierarchical classes.
class uvm_report_object extends uvm_object
The uvm_report_object provides an interface to the UVM reporting facility.
virtual class uvm_component extends uvm_report_object
The uvm_component class is the root base class for UVM components.
virtual class uvm_scoreboard extends uvm_component
The uvm_scoreboard virtual class should be used as the base class for user-defined scoreboards.
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.