Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
D
 data
 Debug
 debug_connected_to
 debug_create_by_name
 debug_create_by_type
 debug_provided_to
 dec_radix
 decode
 decr
 Default Policy Classes
 default_alloc
 default_map
 default_path
 default_precedence
 default_radix
 define_access
 define_domain
 delete
 delete_by_name
 delete_callback
 depth
 die
 disable_recording
 display
 display_objections
 do_accept_tr
 do_begin_tr
 do_block
 do_bus_read
 do_bus_write
 do_compare
 do_copy
 do_end_tr
 do_kill
 do_kill_all
 do_pack
 do_post_read
 do_post_write
 do_pre_read
 do_pre_write
 do_predict
 do_print
 do_read
 do_record
 do_reg_item
 do_unpack
 do_write
 drop_objection
 dropped
 dump
 dump_report_state
 dump_server_state
E
 element
 element_kind
 emit
 enable_print_topology
 enable_recording
 enable_stop_interrupt
 encode
 end_elements
 end_event
 end_of_elaboration_phase
 end_offset
 END_REQ
 END_RESP
 end_tr
 ENDED
 Enumerations
 events
 Example
 exec_func
 exec_task
 execute
 execute_item
 exists
 extension
 Extensions Mechanism
 extract_phase
F
 Factory Classes
 Factory Component and Object Wrappers
 Factory Interface
 Field automation
 Field Macros
 fifo
 final_phase
 find
 find_all
 find_block
 find_blocks
 find_by_name
 find_override_by_name
 find_override_by_type
 find_unused_resources
 finish_item
 finish_on_completion
 FINISHED
 first
 flush
 fname
 footer
 for_each
 format_action
 format_header
 format_row
 Frontdoor
 full_name
uvm_reg_data_t data
The data to write.
function void debug_connected_to ( int  level  =  0,
int  max_level  =  -1 )
The debug_connected_to method outputs a visual text display of the port/export/imp network to which this port connects (i.e., the port’s fanout).
function void debug_create_by_name ( string  requested_type_name,   
string  parent_inst_path  =  "",
string  name  =  "" )
These methods perform the same search algorithm as the create_* methods, but they do not create new objects.
function void debug_create_by_type ( uvm_object_wrapper  requested_type,   
string  parent_inst_path  =  "",
string  name  =  "" )
function void debug_provided_to ( int  level  =  0,
int  max_level  =  -1 )
The debug_provided_to method outputs a visual display of the port/export network that ultimately connect to this port (i.e., the port’s fanin).
string dec_radix = "'d"
This string should be prepended to the value of an integral type when a radix of UVM_DEC is used for the radix of the integral object.
virtual function void decode( ref  uvm_reg_data_t  data[] )
Data decode
function void decr( real  t,
time  scaled,
real  secs )
Decrement the time value by the specified number of scaled time unit
Policy classes copying, comparing, packing, unpacking, and recording uvm_object-based objects.
uvm_mem_mam_policy default_alloc
Region allocation policy
uvm_reg_map default_map
Default address map
uvm_path_e default_path = UVM_DEFAULT_PATH
Default access path for the registers and memories in this block.
static int unsigned default_precedence = 1000
The default precedence for an resource that has been created.
uvm_radix_enum default_radix = UVM_HEX
This knob sets the default radix to use for integral values when no radix enum is explicitly supplied to the print_int() method.
uvm_radix_enum default_radix = UVM_HEX
This is the default radix setting if record_field is called without a radix.
static function bit define_access( string  name )
Define a new access policy value
virtual protected function void define_domain( uvm_domain  domain )
Builds custom phase schedules into the provided domain handle.
static function void delete( obj,
uvm_callback  cb )
Deletes the given callback object, cb, from the queue associated with the given obj handle.
virtual function void delete ( string  key )
Removes the item with the given string key from the pool.
virtual function void delete ( KEY  key )
Removes the item with the given key from the pool.
virtual function void delete ( int  index  =  -1 )
Removes the item at the given index from the queue; if index is not provided, the entire contents of the queue are deleted.
static function void delete_by_name( string  name,
uvm_callback  cb,
uvm_component  root )
Removes the given callback object, cb, associated with one or more uvm_component callback queues.
virtual function void delete_callback ( uvm_event_callback  cb )
Unregisters the given callback, cb, from this event.
int depth = -1
Indicates how deep to recurse when printing objects.
virtual function void die()
This method is called by the report server if a report reaches the maximum quit count or has an UVM_EXIT action associated with it, e.g., as with fatal errors.
function void disable_recording ()
Turns off recording for the transaction stream.
static function void display( obj  =  null )
This function displays callback information for obj.
function void display_objections( uvm_object  obj  =  null,
bit  show_header  =  1 )
Displays objection information about the given object.
virtual protected function void do_accept_tr ( uvm_transaction  tr )
The accept_tr method calls this function to accommodate any user-defined post-accept action.
virtual protected function void do_accept_tr ()
This user-definable callback is called by accept_tr just before the accept event is triggered.
virtual protected function void do_begin_tr ( uvm_transaction  tr,
string  stream_name,
integer  tr_handle )
The begin_tr and begin_child_tr methods call this function to accommodate any user-defined post-begin action.
virtual protected function void do_begin_tr ()
This user-definable callback is called by begin_tr and begin_child_tr just before the begin event is triggered.
protected virtual task do_block( uvm_reg_block  blk )
Test all of the memories in a given block
protected virtual task do_block( uvm_reg_block  blk )
Test all of the memories in a given block
protected virtual task do_block( uvm_reg_block  blk )
Test all of the registers in a block
protected virtual task do_block( uvm_reg_block  blk )
Test all of the registers in a a given block
protected virtual task do_block( uvm_reg_block  blk )
Test all of the registers and memories in a block
virtual task do_bus_read ( uvm_reg_item  rw,
uvm_sequencer_base  sequencer,
uvm_reg_adapter  adapter )
Perform a bus read operation.
virtual task do_bus_write ( uvm_reg_item  rw,
uvm_sequencer_base  sequencer,
uvm_reg_adapter  adapter )
Perform a bus write operation.
virtual function bit do_compare ( uvm_object  rhs,
uvm_comparer  comparer )
The do_compare method is the user-definable hook called by the compare method.
virtual function void do_copy ( uvm_object  rhs )
The do_copy method is the user-definable hook called by the copy method.
virtual function void do_copy( uvm_object  rhs )
Copy the rhs object into this object.
virtual protected function void do_end_tr ( uvm_transaction  tr,
integer  tr_handle )
The end_tr method calls this function to accommodate any user-defined post-end action.
virtual protected function void do_end_tr ()
This user-definable callback is called by end_tr just before the end event is triggered.
virtual function void do_kill()
This function is a user hook that is called whenever a sequence is terminated by using either sequence.kill() or sequencer.stop_sequences() (which effectively calls sequence.kill()).
virtual function void do_kill_all ()
Recursively calls kill on this component and all its descendants, which abruptly ends the currently running task-based phase, e.g., run.
virtual function void do_pack ( uvm_packer  packer )
The do_pack method is the user-definable hook called by the pack methods.
protected task do_post_read( uvm_reg_item  rw )
Execute the post-read callbacks
protected task do_post_write( uvm_reg_item  rw )
Execute the post-write callbacks
protected task do_pre_read( uvm_reg_item  rw )
Execute the pre-read callbacks
protected task do_pre_write( uvm_reg_item  rw )
Execute the pre-write callbacks
virtual function void do_predict( uvm_reg_item  rw,   
uvm_predict_e  kind  =  UVM_PREDICT_DIRECT,
uvm_reg_byte_en_t  be  =  -1 )
Updates the abstract (mirror) FIFO based on write() and read() operations.
virtual function void do_print ( uvm_printer  printer )
The do_print method is the user-definable hook called by print and sprint that allows users to customize what gets printed or sprinted beyond the field information provided by the `uvm_field_* macros, Utility and Field Macros for Components and Objects.
function void do_print ( uvm_printer  printer )
Implementation of do_print which is called by print().
virtual task do_read( uvm_reg_item  rw )
Perform a read operation.
virtual function void do_record ( uvm_recorder  recorder )
The do_record method is the user-definable hook called by the record method.
virtual task do_reg_item( uvm_reg_item  rw )
Executes the given register transaction, rw, via the sequencer on which this sequence was started (i.e.
virtual function void do_unpack ( uvm_packer  packer )
The do_unpack method is the user-definable hook called by the unpack method.
virtual task do_write( uvm_reg_item  rw )
Perform a write operation.
virtual function void drop_objection ( uvm_object  obj  =  null,
string  description  =  "",
int  count  =  1 )
Drops the number of objections for the source object by count, which defaults to 1.
virtual function void drop_objection ( uvm_object  obj,   
string  description  =  "",
int  count  =  1 )
Drop an objection to ending this phase
virtual function void dropped ( uvm_object  obj,
uvm_object  source_obj,
string  description,
int  count )
Executes the uvm_objection_callback::dropped method in the user callback class whenever this objection is dropped at the object obj.
virtual function void dropped ( uvm_objection  objection,
uvm_object  source_obj,
string  description,
int  count )
The dropped callback is called when this or a descendant of this component instance drops the specfied objection.
virtual function void dropped ( uvm_object  obj,
uvm_object  source_obj,
string  description,
int  count )
Objection callback that is called when a drop_objection has reached obj.
virtual function void dropped ( uvm_objection  objection,
uvm_object  obj,
uvm_object  source_obj,
string  description,
int  count )
Objection dropped callback function.
static function void dump()
Dump all the resources in the resource pool.
function void dump( bit  audit  =  0 )
dump the entire resource pool.
function void dump_report_state()
This method dumps the internal state of the report handler.
function void dump_server_state()
Dumps server state information.
uvm_object element
A handle to the RegModel model element associated with this transaction.
uvm_elem_kind_e element_kind
Kind of element being accessed: REG, MEM, or FIELD.
virtual function string emit ()
Emits a string representing the contents of an object in a format defined by an extension of this object.
virtual function string emit()
Formats the collected information from prior calls to print_* into table format.
virtual function string emit()
Formats the collected information from prior calls to print_* into hierarchical tree format.
bit enable_print_topology = 0
If set, then the entire testbench topology is printed just after completion of the end_of_elaboration phase.
function void enable_recording ( string  stream,   
uvm_recorder  recorder  =  null )
Turns on recording to the stream specified by stream, whose interpretation is implementation specific.
int enable_stop_interrupt = 0
This bit allows a component to raise an objection to the stopping of the current phase.
virtual function void encode( ref  uvm_reg_data_t  data[] )
Data encoder
int end_elements = 5
This defines the number of elements at the end of a list that should be printed.
uvm_event end_event
The event that is triggered when transaction recording for this transaction ends.
virtual function void end_of_elaboration_phase( uvm_phase  phase )
The Pre-Defined Phases::uvm_end_of_elaboration_phase phase implementation method.
rand bit [63:0] end_offset
Last address of managed space
End of request phase
End of response phase
function void end_tr ( uvm_transaction  tr,   
time  end_time  =  0,
bit  free_handle  =  1 )
This function marks the end of a transaction, tr, by this component.
function void end_tr ( time  end_time  =  0,
bit  free_handle  =  1 )
This function indicates that the transaction execution has ended.
The sequence has ended by the completion of the body task.
const uvm_event_pool events = new
The event pool instance for this transaction.
The following example illustrates how to implement a RegModel-BUS adapter class for the APB bus protocol.
virtual function void exec_func( uvm_component  comp,
uvm_phase  phase )
Implements the functor/delegate functionality for a function phase type comp - the component to execute the functionality upon phase - the phase schedule that originated this phase call
virtual task exec_task( uvm_component  comp,
uvm_phase  phase )
Implements the functor/delegate functionality for a task phase type comp - the component to execute the functionality upon phase - the phase schedule that originated this phase call
protected virtual function void execute( uvm_component  comp,
uvm_phase  phase )
Executes the bottom-up phase phase for the component comp.
protected virtual function void execute( uvm_component  comp,
uvm_phase  phase )
Fork the task-based phase phase for the component comp.
protected virtual function void execute( uvm_component  comp,
uvm_phase  phase )
Executes the top-down phase phase for the component comp.
virtual task execute_item( uvm_sequence_item  item )
This task allows the user to supply an item or sequence to the sequencer and have it be executed procedurally.
static function bit exists( uvm_component  cntxt,   
string  inst_name,   
string  field_name,   
bit  spell_chk  =  )
Check if a value for field_name is available in inst_name, using component cntxt as the starting search point.
virtual function int exists ( KEY  key )
Returns 1 if a item with the given key exists in the pool, 0 otherwise.
rand uvm_object extension
Handle to optional user data, as conveyed in the call to write, read, mirror, or update call.
virtual function void extract_phase( uvm_phase  phase )
The Pre-Defined Phases::uvm_extract_phase phase implementation method.
As the name implies, the uvm_factory is used to manufacture (create) UVM objects and components.
This section defines the proxy component and object classes used by the factory.
The factory interface provides convenient access to a portion of UVM’s uvm_factory interface.
The `uvm_field_* macros are invoked inside of the `uvm_*_utils_begin and `uvm_*_utils_end macro blocks to form “automatic” implementations of the core data methods: copy, compare, pack, unpack, record, print, and sprint.
rand uvm_reg_data_t fifo[$]
The abstract representation of the FIFO.
virtual function void final_phase( uvm_phase  phase )
The Pre-Defined Phases::uvm_final_phase phase implementation method.
function uvm_phase find( uvm_phase  phase,   
bit  stay_in_scope  =  1 )
Locate the phase node with the specified phase IMP and return its handle.
function uvm_component find ( string  comp_match )
function void find_all ( string  comp_match,   
ref  uvm_component  comps[$],   
input  uvm_component  comp  =  null )
Returns the component handle (find) or list of components handles (find_all) matching a given string.
static function types_t find_all( uvm_component  start )
Recursively finds all component instances of the parameter type TYPE, starting with the component given by start.
static function uvm_reg_block find_block( input  string  name,   
input  uvm_reg_block  root  =  null,
input  uvm_object  accessor  =  null )
Find the first block whose hierarchical names match the specified name glob.
static function int find_blocks( input  string  name,   
ref  uvm_reg_block  blks[$],   
input  uvm_reg_block  root  =  null,
input  uvm_object  accessor  =  null )
Find the blocks whose hierarchical names match the specified name glob.
function uvm_phase find_by_name( string  name,   
bit  stay_in_scope  =  1 )
Locate a phase node with the specified name and return its handle.
function uvm_object_wrapper find_override_by_name ( string  requested_type_name,
string  full_inst_path )
These methods return the proxy to the object that would be created given the arguments.
function uvm_object_wrapper find_override_by_type (
    uvm_object_wrapper  requested_type,
    string  full_inst_path
)
function uvm_resource_types::rsrc_q_t find_unused_resources()
Locate all the resources that have at least one write and no reads
finish_item, together with start_item together will initiate operation of either a sequence_item or sequence object.
virtual task finish_item ( uvm_sequence_item  item,   
int  set_priority  =  -1 )
Finishes execution of a sequence item or sequence.
bit finish_on_completion = 1
If set, then run_test will call $finish after all phases are executed.
The sequence is completely finished executing.
function CB first()
Returns the first valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object.
virtual function int first ( ref  KEY  key )
Returns the key of the first item stored in the pool.
virtual function void flush()
This method sets m_matches and m_mismatches back to zero.
virtual function void flush()
Removes all entries from the FIFO, after which used returns 0 and is_empty returns 1.
string fname = ""
The file name from where this transaction originated, if provided at the call site.
bit footer = 1
Indicates whether the print_footer function should be called when printing an object.
function uvm_mem_region for_each( bit  reset  =  0 )
Iterate over all currently allocated regions
function string format_action( uvm_action  action )
Returns a string representation of the action, e.g., “DISPLAY”.
Hook to override base footer with a custom footer.
virtual function string format_row ( uvm_printer_row_info  row )
Hook for producing custom output of a single field (row).
bit full_name = 0
Indicates whether adjust_name should print the full name of an identifier or just the leaf name.