Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
U
 ungrab
 Unidirectional Interfaces&Ports
 UNINITIALIZED_PHASE
 unlock
 unpack
 unpack_bytes
 unpack_field
 unpack_field_int
 unpack_ints
 unpack_object
 unpack_real
 unpack_string
 unpack_time
 Unpacking
 Unpacking Macros
 Unpacking-No Size Info
 Unpacking-With Size Info
 unsigned_radix
 unsync
 update
 update_reg
 Usage
 use_metadata
 use_response_handler
 use_uvm_seeding
 used
 User-Defined Phases
 user_priority_arbitration
 Utility and Field Macros for Components and Objects
 Utility Classes
 Utility Functions
 Utility Macros
 UVM Class Reference
 UVM Factory
 UVM HDL Backdoor Access support routines
 uvm_*_export#(REQ,RSP)
 uvm_*_export#(T)
 uvm_*_imp ports
 uvm_*_imp#(REQ,RSP,IMP,REQ_IMP,RSP_IMP)
 uvm_*_imp#(T,IMP)
 uvm_*_port#(REQ,RSP)
 uvm_*_port#(T)
 uvm_access_e
 uvm_action
 uvm_active_passive_enum
 uvm_agent
 uvm_algorithmic_comparator#(BEFORE,AFTER,TRANSFORMER)
 uvm_algorithmic_comparator.svh
 UVM_ALL_DROPPED
 uvm_analysis_export
 uvm_analysis_imp
 uvm_analysis_port
 UVM_BACKDOOR
 uvm_barrier
 UVM_BIG_ENDIAN
 UVM_BIG_FIFO
 UVM_BIN
 uvm_bits_to_string
 uvm_bitstream_t
 uvm_bottomup_phase
 uvm_build_phase
 uvm_built_in_clone#(T)
 uvm_built_in_comp#(T)
 uvm_built_in_converter#(T)
 uvm_built_in_pair#(T1,T2)
 UVM_CALL_HOOK
 uvm_callback
 uvm_callback_iter
 uvm_callbacks#(T,CB)
 uvm_callbacks_objection
 UVM_CHECK
 uvm_check_e
 uvm_check_phase
 uvm_class_clone#(T)
 uvm_class_comp#(T)
 uvm_class_converter#(T)
 uvm_class_pair#(T1,T2)
 uvm_cmdline_processor
 uvm_comparer
 UVM_COMPLETED
 uvm_component
 uvm_component_registry#(T,Tname)
 uvm_config_db#(T)
 uvm_configure_phase
 uvm_connect_phase
 UVM_COUNT
 uvm_coverage_model_e
 UVM_CVR_ADDR_MAP
 UVM_CVR_ALL
 UVM_CVR_FIELD_VALS
 UVM_CVR_REG_BITS
 UVM_DEC
 UVM_DEEP
 uvm_default_comparer
 uvm_default_line_printer
 uvm_default_packer
 UVM_DEFAULT_PATH
 uvm_default_printer
 uvm_default_recorder
 uvm_default_table_printer
 uvm_default_tree_printer
 UVM_DISPLAY
 UVM_DO_ALL_REG_MEM_TESTS
 UVM_DO_MEM_ACCESS
 UVM_DO_MEM_WALK
 UVM_DO_REG_ACCESS
 UVM_DO_REG_BIT_BASH
 UVM_DO_REG_HW_RESET
 UVM_DO_SHARED_ACCESS
 uvm_domain
 uvm_driver#(REQ,RSP)
 UVM_DROPPED
 uvm_elem_kind_e
 uvm_end_of_elaboration_phase
 uvm_endianness_e
 UVM_ENUM
 uvm_env
 UVM_EQ
 UVM_ERROR
 uvm_event
 uvm_event_callback
 UVM_EXIT
 UVM_EXPORT
 uvm_extract_phase
 uvm_factory
 UVM_FATAL
 UVM_FIELD
 uvm_final_phase
 UVM_FORCED_STOP
 UVM_FRONTDOOR
 UVM_FULL
 UVM_GT
 UVM_GTE
 UVM_HAS_X
 uvm_hdl_check_path
 uvm_hdl_deposit
 uvm_hdl_force
 uvm_hdl_force_time
 UVM_HDL_MAX_WIDTH
 uvm_hdl_path_concat
 uvm_hdl_path_slice
 uvm_hdl_read
 uvm_hdl_release
 uvm_hdl_release_and_read
 uvm_heartbeat
 UVM_HEX
 UVM_HIER
 uvm_hier_e
 UVM_HIGH
 UVM_IMPLEMENTATION
 uvm_in_order_built_in_comparator#(T)
 uvm_in_order_class_comparator#(T)
 uvm_in_order_comparator#(T,comp_type,convert,pair_type)
 UVM_INFO
 uvm_is_match
 UVM_IS_OK
 uvm_line_printer
 UVM_LITTLE_ENDIAN
 UVM_LITTLE_FIFO
 UVM_LOG
 UVM_LOW
 UVM_LT
 UVM_LTE
 uvm_main_phase
 UVM_MEDIUM
 uvm_mem
 UVM_MEM
 uvm_mem_access_seq
 uvm_mem_cb
 uvm_mem_cb_iter
 uvm_mem_mam
 uvm_mem_mam_cfg
 uvm_mem_mam_policy
 uvm_mem_region
 uvm_mem_shared_access_seq
 uvm_mem_single_access_seq
 uvm_mem_single_walk_seq
 uvm_mem_walk_seq
 uvm_monitor
 UVM_NE
 UVM_NO_ACTION
 UVM_NO_CHECK
 UVM_NO_COVERAGE
 UVM_NO_ENDIAN
 UVM_NO_HIER
 UVM_NONE
 UVM_NOT_OK
 uvm_object
 uvm_object_registry#(T,Tname)
 uvm_object_string_pool#(T)
 uvm_object_wrapper
 uvm_objection
 uvm_objection_callback
 uvm_objection_event
 UVM_OCT
 uvm_packer
 uvm_pair classes
 uvm_path_e
 uvm_phase
 UVM_PHASE_CLEANUP
 UVM_PHASE_DOMAIN
 UVM_PHASE_DONE
 UVM_PHASE_DORMANT
 UVM_PHASE_ENDED
 UVM_PHASE_EXECUTING
 UVM_PHASE_IMP
 UVM_PHASE_NODE
 UVM_PHASE_READY_TO_END
 UVM_PHASE_SCHEDULE
 UVM_PHASE_SCHEDULED
 UVM_PHASE_STARTED
 uvm_phase_state
 UVM_PHASE_SYNCING
 UVM_PHASE_TERMINAL
 uvm_phase_transition
 uvm_phase_type
 uvm_pool#(KEY,T)
 UVM_PORT
 uvm_port_base#(IF)
 uvm_port_component#(PORT)
 uvm_port_component_base
 uvm_port_type_e
 uvm_post_configure_phase
 uvm_post_main_phase
 uvm_post_reset_phase
 uvm_post_shutdown_phase
 uvm_pre_configure_phase
 uvm_pre_main_phase
 uvm_pre_reset_phase
 uvm_pre_shutdown_phase
 UVM_PREDICT
 UVM_PREDICT_DIRECT
 uvm_predict_e
 UVM_PREDICT_READ
 UVM_PREDICT_WRITE
 uvm_printer
 uvm_printer_knobs
 uvm_push_driver#(REQ,RSP)
 uvm_push_sequencer#(REQ,RSP)
 uvm_queue#(T)
 uvm_radix_enum
 UVM_RAISED
 uvm_random_stimulus#(T)
 UVM_READ
 uvm_recorder
 uvm_recursion_policy_enum
 UVM_REFERENCE
 uvm_reg
 UVM_REG
 uvm_reg_access_seq
 uvm_reg_adapter
 uvm_reg_addr_logic_t
 uvm_reg_addr_t
 uvm_reg_backdoor
 uvm_reg_bd_cb
 uvm_reg_bd_cb_iter
 uvm_reg_bit_bash_seq
 uvm_reg_block
 uvm_reg_bus_op
 uvm_reg_byte_en_t
 uvm_reg_cb
 uvm_reg_cb_iter
 uvm_reg_cbs
 uvm_reg_cvr_t
 uvm_reg_data_logic_t
 uvm_reg_data_t
 uvm_reg_defines.svh
 uvm_reg_field
 uvm_reg_field_cb
 uvm_reg_field_cb_iter
 uvm_reg_fifo
 uvm_reg_file
 uvm_reg_frontdoor
 uvm_reg_hw_reset_seq
 uvm_reg_indirect_data
 uvm_reg_item
 uvm_reg_map
 uvm_reg_mem_access_seq
 uvm_reg_mem_built_in_seq
 uvm_reg_mem_hdl_paths_seq
 uvm_reg_mem_shared_access_seq
 uvm_reg_mem_tests_e
 uvm_reg_predictor
 uvm_reg_read_only_cbs
 uvm_reg_sequence
 uvm_reg_shared_access_seq
 uvm_reg_single_access_seq
 uvm_reg_single_bit_bash_seq
 uvm_reg_tlm_adapter
 uvm_reg_write_only_cbs
 uvm_report_catcher
 uvm_report_enabled
 uvm_report_error
 uvm_report_fatal
 uvm_report_handler
 uvm_report_info
 uvm_report_object
 uvm_report_phase
 uvm_report_server
 uvm_report_warning
 UVM_RERUN
 uvm_reset_phase
 uvm_resource#(T)
 uvm_resource_base
 uvm_resource_db
 uvm_resource_options
 uvm_resource_pool
 uvm_resource_types
 uvm_root
 uvm_run_phase
 uvm_scoreboard
 uvm_seq_item_pull_export#(REQ,RSP)
 uvm_seq_item_pull_imp#(REQ,RSP,IMP)
 uvm_seq_item_pull_port#(REQ,RSP)
 UVM_SEQ_LIB_ITEM
 UVM_SEQ_LIB_RAND
 UVM_SEQ_LIB_RANDC
 UVM_SEQ_LIB_USER
 uvm_sequence#(REQ,RSP)
 uvm_sequence_base
 uvm_sequence_item
 uvm_sequence_lib_mode
 uvm_sequence_state_enum
 uvm_sequencer#(REQ,RSP)
 uvm_sequencer_arb_mode
 uvm_sequencer_base
 uvm_sequencer_param_base#(REQ,RSP)
 uvm_severity
 UVM_SHALLOW
 uvm_shutdown_phase
 UVM_SKIPPED
 uvm_split_string
 uvm_sqr_if_base#(REQ,RSP)
 uvm_start_of_simulation_phase
 uvm_status_e
 UVM_STOP
 UVM_STRING
 uvm_string_to_bits
 uvm_subscriber
 uvm_table_printer
 uvm_task_phase
 uvm_test
 UVM_TIME
 UVM_TLM_ACCEPTED
 UVM_TLM_ADDRESS_ERROR_RESPONSE
 uvm_tlm_analysis_fifo
 uvm_tlm_b_initiator_socket
 uvm_tlm_b_initiator_socket_base
 uvm_tlm_b_passthrough_initiator_socket
 uvm_tlm_b_passthrough_initiator_socket_base
 uvm_tlm_b_passthrough_target_socket
 uvm_tlm_b_passthrough_target_socket_base
 uvm_tlm_b_target_socket
 uvm_tlm_b_target_socket_base
 uvm_tlm_b_transport_export
 uvm_tlm_b_transport_imp
 uvm_tlm_b_transport_port
 UVM_TLM_BURST_ERROR_RESPONSE
 UVM_TLM_BYTE_ENABLE_ERROR_RESPONSE
 uvm_tlm_command_e
 UVM_TLM_COMMAND_ERROR_RESPONSE
 UVM_TLM_COMPLETED
 uvm_tlm_extension
 uvm_tlm_extension_base
 uvm_tlm_fifo
 uvm_tlm_fifo_base#(T)
 UVM_TLM_GENERIC_ERROR_RESPONSE
 uvm_tlm_generic_payload
 uvm_tlm_gp
 uvm_tlm_if
 uvm_tlm_if_base#(T1,T2)
 UVM_TLM_IGNORE_COMMAND
 UVM_TLM_INCOMPLETE_RESPONSE
 uvm_tlm_nb_initiator_socket
 uvm_tlm_nb_initiator_socket_base
 uvm_tlm_nb_passthrough_initiator_socket
 uvm_tlm_nb_passthrough_initiator_socket_base
 uvm_tlm_nb_passthrough_target_socket
 uvm_tlm_nb_passthrough_target_socket_base
 uvm_tlm_nb_target_socket
 uvm_tlm_nb_target_socket_base
 uvm_tlm_nb_transport_bw_export
 uvm_tlm_nb_transport_bw_imp
 uvm_tlm_nb_transport_bw_port
 uvm_tlm_nb_transport_fw_export
 uvm_tlm_nb_transport_fw_imp
 uvm_tlm_nb_transport_fw_port
 UVM_TLM_OK_RESPONSE
 uvm_tlm_phase_e
 UVM_TLM_READ_COMMAND
 uvm_tlm_req_rsp_channel#(REQ,RSP)
 uvm_tlm_response_status_e
 uvm_tlm_sync_e
 uvm_tlm_time
 uvm_tlm_transport_channel#(REQ,RSP)
 UVM_TLM_UPDATED
 UVM_TLM_WRITE_COMMAND
 uvm_top
 uvm_topdown_phase
 uvm_transaction
 uvm_tree_printer
 UVM_UNSIGNED
 uvm_utils
 uvm_verbosity
 uvm_void
 uvm_vreg
 uvm_vreg_cb
 uvm_vreg_cb_iter
 uvm_vreg_cbs
 uvm_vreg_field
 uvm_vreg_field_cb
 uvm_vreg_field_cb_iter
 uvm_vreg_field_cbs
 uvm_wait_for_nba_region
 uvm_wait_op
 UVM_WARNING
 UVM_WRITE
function void ungrab( uvm_sequencer_base  sequencer  =  null )
Removes any locks or grabs obtained by this sequence on the specified sequencer.
virtual function void ungrab( uvm_sequence_base  sequence_ptr )
Removes any locks and grabs obtained by the specified sequence_ptr.
The unidirectional TLM interfaces consist of blocking, non-blocking, and combined blocking and non-blocking variants of the put, get and peek interfaces, plus a non-blocking analysis interface.
Defaults for constructor
function void unlock()
Releases the lock held by this semaphore.
function void unlock( uvm_sequencer_base  sequencer  =  null )
Removes any locks or grabs obtained by this sequence on the specified sequencer.
virtual function void unlock( uvm_sequence_base  sequence_ptr )
Removes any locks and grabs obtained by the specified sequence_ptr.
function int unpack ( ref  bit  bitstream[],   
input  uvm_packer  packer  =  null )
function int unpack_bytes ( ref byte  unsigned  bytestream[],   
input  uvm_packer  packer  =  null )
virtual function uvm_bitstream_t unpack_field ( int  size )
Unpacks bits from the pack array and returns the bit-stream that was unpacked.
virtual function logic[63:0] unpack_field_int ( int  size )
Unpacks bits from the pack array and returns the bit-stream that was unpacked.
function int unpack_ints ( ref int  unsigned  intstream[],   
input  uvm_packer  packer  =  null )
The unpack methods extract property values from an array of bits, bytes, or ints.
virtual function void unpack_object ( uvm_object  value )
Unpacks an object and stores the result into value.
virtual function real unpack_real ()
Unpacks the next 64 bits of the pack array and places them into a real variable.
virtual function string unpack_string ( int  num_chars  =  -1 )
Unpacks a string.
virtual function time unpack_time ()
Unpacks the next 64 bits of the pack array and places them into a time variable.
The unpacking macros assist users who implement the uvm_object::do_unpack method.
string unsigned_radix = "'d"
This is the string which should be prepended to the value of an integral type when a radix of UVM_UNSIGNED is used for the radix of the integral object.
function void unsync( uvm_domain  target,   
uvm_phase  phase  =  null,
uvm_phase  with_phase  =  null )
Remove synchronization between two domains, fully or partially
virtual task update( output  uvm_status_e  status,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Updates the content of the register in the design to match the desired value
virtual task update( output  uvm_status_e  status,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Batch update of register.
virtual task update( output  uvm_status_e  status,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Pushes (writes) all values preloaded using set(() to the DUT>.
virtual task update_reg( input  uvm_reg  rg,   
output  uvm_status_e  status,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Updates the given register rg using uvm_reg::update, supplying ‘this’ as the parent argument.
This example illustrates basic TLM connectivity using the blocking put inteface.
Using the factory involves three basic operations
This section describes usage for the uvm_*_registry classes.
bit use_metadata = 0
This flag indicates whether to encode metadata when packing dynamic data, or to decode metadata when unpacking.
function void use_response_handler( bit  enable )
When called with enable set to 1, responses will be sent to the response handler.
static bit use_uvm_seeding = 1
This bit enables or disables the UVM seeding mechanism.
virtual function int used()
Returns the number of entries put into the FIFO.
To defined your own custom phase, use the following pattern
virtual function integer user_priority_arbitration( integer  avail_sequences[$] )
When the sequencer arbitration mode is set to SEQ_ARB_USER (via the set_arbitration method), the sequencer will call this function each time that it needs to arbitrate among sequences.
The utility macros provide implementations of the uvm_object::create method, which is needed for cloning, and the uvm_object::get_type_name method, which is needed for a number of debugging features.
The UVM Class Library provides the building blocks needed to quickly develop well-constructed and reusable verification components and test environments in SystemVerilog.
This page covers the classes that define the UVM factory facility.
These routines provide an interface to the DPI/PLI implementation of backdoor access used by registers.
The bidirectional uvm_*_export is a port that forwards or promotes an interface implementation from a child component to its parent.
The unidirectional uvm_*_export is a port that forwards or promotes an interface implementation from a child component to its parent.
The following defines the TLM implementation (imp) classes.
Bidirectional implementation (imp) port classes--An imp port provides access to an implementation of the associated interface to all connected ports and exports.
Unidirectional implementation (imp) port classes--An imp port provides access to an implementation of the associated interface to all connected ports and exports.
These bidirectional ports are instantiated by components that require, or use, the associated interface to convey transactions.
These unidirectional ports are instantiated by components that require, or use, the associated interface to convey transactions.
Type of operation begin performed
Defines all possible values for report actions.
Convenience value to define whether a component, usually an agent, is in “active” mode or “passive” mode.
virtual class uvm_agent extends uvm_component
The uvm_agent virtual class should be used as the base class for the user- defined agents.
class uvm_algorithmic_comparator #( type  BEFORE  =  int,
type  AFTER  =  int,
type  TRANSFORMER  =  int ) extends uvm_component
Compares two streams of data objects of different types, BEFORE and AFTER.
all objections have been dropped
class uvm_analysis_export #(
    type  T  =  int
) extends uvm_port_base #(uvm_tlm_if_base #(T,T))
Exports a lower-level uvm_analysis_imp to its parent.
class uvm_analysis_imp #(
    type  T  =  int,
    type  IMP  =  int
) extends uvm_port_base #(uvm_tlm_if_base #(T,T))
Receives all transactions broadcasted by a uvm_analysis_port.
class uvm_analysis_port # (
    type  T  =  int
) extends uvm_port_base # (uvm_tlm_if_base #(T,T))
Broadcasts a value to all subscribers implementing a uvm_analysis_imp.
Use the back door
class uvm_barrier extends uvm_object
The uvm_barrier class provides a multiprocess synchronization mechanism.
Most-significant bytes first in consecutive addresses
Most-significant bytes first at the same address
Selects binary (%b) format
function string uvm_bits_to_string( logic  [UVM_LARGE_STRING:0]  str )
Converts an input bit-vector to its string equivalent.
The bitstream type is used as a argument type for passing integral values in such methods as set_int_local, get_int_local, get_config_int, report, pack and unpack.
virtual class uvm_bottomup_phase extends uvm_phase
Virtual base class for function phases that operate bottom-up.
Create and configure of testbench structure
class uvm_built_in_clone #( type  T  =  int )
This policy class is used to clone built-in types via the = operator.
class uvm_built_in_comp #( type  T  =  int )
This policy class is used to compare built-in types.
class uvm_built_in_converter #( type  T  =  int )
This policy class is used to convert built-in types to strings.
class uvm_built_in_pair #( type  T1  =  int,
  T2  =  T1 ) extends uvm_object
Container holding two variables of built-in types (int, string, etc.)
Callback the report hook methods
class uvm_callback extends uvm_object
The uvm_callback class is the base class for user-defined callback classes.
class uvm_callback_iter#( type  T  =  uvm_object,
type  CB  =  uvm_callback )
The uvm_callback_iter class is an iterator class for iterating over callback queues of a specific callback type.
The uvm_callbacks class provides a base class for implementing callbacks, which are typically used to modify or augment component behavior without changing the component class.
class uvm_callbacks_objection extends uvm_objection
The uvm_callbacks_objection is a specialized uvm_objection which contains callbacks for the raised and dropped events.
Read and check
Read-only or read-and-check
Check for any unexpected conditions in the verification environment.
class uvm_class_clone #( type  T  =  int )
This policy class is used to clone class objects.
class uvm_class_comp #( type  T  =  int )
This policy class is used to compare two objects of the same type.
class uvm_class_converter #( type  T  =  int )
This policy class is used to convert a class object to a string.
class uvm_class_pair #( type  T1  =  int,
  T2  =  T1 ) extends uvm_object
Container holding handles to two objects whose types are specified by the type parameters, T1 and T2.
class uvm_cmdline_processor extends uvm_report_object
This class provides an interface to the command line arguments that were provided for the given simulation.
class uvm_comparer
The uvm_comparer class provides a policy object for doing comparisons.
the phase completed normally
virtual class uvm_component extends uvm_report_object
The uvm_component class is the root base class for UVM components.
class uvm_component_registry #(
    type  T  =  uvm_component,
    string  Tname  =  "<unknown>"
) extends uvm_object_wrapper
The uvm_component_registry serves as a lightweight proxy for a component of type T and type name Tname, a string.
class uvm_config_db#( type  T  =  int ) extends uvm_resource_db#(T)
The uvm_config_db#(T) class provides a convenience interface on top of the uvm_resource_db to simplify the basic interface that is used for reading and writing into the resource database.
The SW configures the DUT.
Establish cross-component connections.
Counts the number of reports with the COUNT attribute.
Coverage models available or desired.
Individual register and memory addresses
All coverage models
Field values
Individual register bits
Selects decimal (%d) format
Objects are deep copied (object must implement copy method)
uvm_comparer uvm_default_comparer = new()
The default compare policy.
uvm_line_printer uvm_default_line_printer = new()
The line printer is a global object that can be used with uvm_object::do_print to get single-line style printing.
uvm_packer uvm_default_packer = new()
The default packer policy.
Operation specified by the context
uvm_printer uvm_default_printer = uvm_default_table_printer
The default printer policy.
uvm_recorder uvm_default_recorder = new()
The default recording policy.
uvm_table_printer uvm_default_table_printer = new()
The table printer is a global object that can be used with uvm_object::do_print to get tabular style printing.
uvm_tree_printer uvm_default_tree_printer = new()
The tree printer is a global object that can be used with uvm_object::do_print to get multi-line tree style printing.
Sends the report to the standard output
Run all of the above
Run uvm_mem_access_seq
Run uvm_mem_walk_seq
Run uvm_reg_access_seq
Run uvm_reg_bit_bash_seq
Run uvm_reg_hw_reset_seq
Run uvm_reg_mem_shared_access_seq
class uvm_domain extends uvm_phase
Phasing schedule node representing an independent branch of the schedule.
class uvm_driver #( type  REQ  =  uvm_sequence_item,
type  RSP  =  REQ ) extends uvm_component
The base class for drivers that initiate requests for new transactions via a uvm_seq_item_pull_port.
an objection was raised
Type of element being read or written
Fine-tune the testbench.
Specifies byte ordering
Selects enumeration value (name) format
virtual class uvm_env extends uvm_component
The base class for hierarchical containers of other components that together comprise a complete environment.
equal
Indicates a real problem.
class uvm_event extends uvm_object
The uvm_event class is a wrapper class around the SystemVerilog event construct.
virtual class uvm_event_callback extends uvm_object
The uvm_event_callback class is an abstract class that is used to create callback objects which may be attached to uvm_events.
Terminates the simulation immediately.
The port provides the interface that is its type parameter via a connection to some other export or implementation.
Extract data from different points of the verficiation environment.
class uvm_factory
As the name implies, uvm_factory is used to manufacture (create) UVM objects and components.
Indicates a problem from which simulation can not recover.
Field
Tie up loose ends.
the phase was forced to terminate prematurely
Use the front door
Report is issued if configured verbosity is set to UVM_FULL or above.
greater than
greater than or equal to
Operation completed successfully bit had unknown bits.
import "DPI-C" function int uvm_hdl_check_path( string  path )
Checks that the given HDL path exists.
import "DPI-C" function int uvm_hdl_deposit( string  path,
uvm_hdl_data_t  value )
Sets the given HDL path to the specified value.
import "DPI-C" function int uvm_hdl_force( string  path,
uvm_hdl_data_t  value )
Forces the value on the given path.
task uvm_hdl_force_time( string  path,   
uvm_hdl_data_t  value,   
time  force_time  =  )
Forces the value on the given path for the specified amount of force_time.
parameter int UVM_HDL_MAX_WIDTH = `UVM_HDL_MAX_WIDTH
Sets the maximum size bit vector for backdoor access.
class uvm_hdl_path_concat
Concatenation of HDL variables
Slice of an HDL path
import "DPI-C" function int uvm_hdl_read( string  path,
output  uvm_hdl_data_t  value )
Gets the value at the given path.
import "DPI-C" function int uvm_hdl_release( string  path )
Releases a value previously set with uvm_hdl_force.
import "DPI-C" function int uvm_hdl_release_and_read(
    string  path,
    inout  uvm_hdl_data_t  value
)
Releases a value previously set with uvm_hdl_force.
Heartbeats provide a way for environments to easily ensure that their descendants are alive.
Selects hexidecimal (%h) format
Provide info based on the hierarchical context
Whether to provide the requested information from a hierarchical context.
Report is issued if configured verbosity is set to UVM_HIGH or above.
The port provides the interface that is its type parameter, and it is bound to the component that implements the interface.
class uvm_in_order_built_in_comparator #(
    type  T  =  int
) extends uvm_in_order_comparator #(T)
This class uses the uvm_built_in_* comparison, converter, and pair classes.
class uvm_in_order_class_comparator #(
    type  T  =  int
) extends uvm_in_order_comparator #( T , uvm_class_comp #( T ) , uvm_class_converter #( T ) , uvm_class_pair #( T, T ) )
This class uses the uvm_class_* comparison, converter, and pair classes.
Compares two streams of data objects of the type parameter, T.
Informative messsage.
function bit uvm_is_match ( string  expr,
string  str )
Returns 1 if the two strings match, 0 otherwise.
Operation completed successfully
class uvm_line_printer extends uvm_tree_printer
The line printer prints output in a line format.
Least-significant bytes first in consecutive addresses
Least-significant bytes first at the same address
Sends the report to the file(s) for this (severity,id) pair
Report is issued if configured verbosity is set to UVM_LOW or above.
less than
less than or equal to
Primary test stimulus.
Report is issued if configured verbosity is set to UVM_MEDIUM or above.
class uvm_mem extends uvm_object
Memory abstraction base class
Memory location
class uvm_mem_access_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the accessibility of all memories in a block by executing the uvm_mem_single_access_seq sequence on every memory within it.
Convenience callback type declaration for memories
Convenience callback iterator type declaration for memories
class uvm_mem_mam
Memory allocation manager
class uvm_mem_mam_cfg
Specifies the memory managed by an instance of a uvm_mem_mam memory allocation manager class.
class uvm_mem_mam_policy
An instance of this class is randomized to determine the starting offset of a randomly allocated memory region.
class uvm_mem_region
Allocated memory region descriptor
class uvm_mem_shared_access_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the accessibility of a shared memory by writing through each address map then reading it via every other address maps in which the memory is readable and the backdoor, making sure that the resulting value matches the written value.
class uvm_mem_single_access_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the accessibility of a memory by writing through its default address map then reading it via the backdoor, then reversing the process, making sure that the resulting value matches the written value.
class uvm_mem_single_walk_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Runs the walking-ones algorithm on the memory given by the mem property, which must be assigned prior to starting this sequence.
class uvm_mem_walk_seq extends uvm_reg_sequence #( uvm_sequence  #(uvm_reg_item) )
Verifies the all memories in a block by executing the uvm_mem_single_walk_seq sequence on every memory within it.
virtual class uvm_monitor extends uvm_component
This class should be used as the base class for user-defined monitors.
not equal
No action is taken
Read only
None
Byte ordering not applicable
Provide info from the local context
Report is always printed.
Operation completed with error
virtual class uvm_object extends uvm_void
The uvm_object class is the base class for all UVM data and hierarchical classes.
class uvm_object_registry #(
    type  T  =  uvm_object,
    string  Tname  =  "<unknown>"
) extends uvm_object_wrapper
The uvm_object_registry serves as a lightweight proxy for an uvm_object of type T and type name Tname, a string.
class uvm_object_string_pool #( type  T  =  uvm_object ) extends uvm_pool #(string,T)
This provides a specialization of the generic uvm_pool #(KEY,T) class for an associative array of uvm_object-based objects indexed by string.
virtual class uvm_object_wrapper
The uvm_object_wrapper provides an abstract interface for creating object and component proxies.
class uvm_objection extends uvm_report_object
Objections provide a facility for coordinating status information between two or more participating components, objects, and even module-based IP.
class uvm_objection_callback extends uvm_callback
The uvm_objection is the callback type that defines the callback implementations for an objection callback.
Enumerated the possible objection events one could wait on.
Selects octal (%o) format
The uvm_packer class provides a policy object for packing and unpacking uvm_objects.
This section defines container classes for handling value pairs.
Path used for register operation
class uvm_phase extends uvm_object
This base class defines everything about a phase: behavior, state, and context
all processes related to phase are being killed
This object represents an entire graph segment that executes in parallel with the ‘run’ phase.
A phase is done after it terminated execution.
Nothing has happened with the phase in this domain.
phase completed execution, now running phase_ended() callback
An executing phase is one where the phase callbacks are being executed.
The phase object is used to traverse the component hierarchy and call the component phase method as well as the phase_started and phase_ended callbacks.
The object represents a simple node instance in the graph.
no objections remain, awaiting completion of predecessors of its successors.
The object represents a portion of the phasing graph, typically consisting of several NODE types, in series, parallel, or both.
At least one immediate predecessor has completed.
phase ready to execute, running phase_started() callback
The set of possible states of a phase.
All predecessors complete, checking that all synced phases (e.g.
This internal object serves as the termination NODE for a SCHEDULE phase object.
These are the phase state transition for callbacks which provide additional information that may be useful during callbacks
This is an attribute of a uvm_phase object which defines the phase type.
class uvm_pool #( type  KEY  =  int,
  T  =  uvm_void ) extends uvm_object
Implements a class-based dynamic associative array.
The port requires the interface that is its type parameter.
virtual class uvm_port_base #( type  IF  =  uvm_void ) extends IF
Transaction-level communication between components is handled via its ports, exports, and imps, all of which derive from this class.
class uvm_port_component #(
    type  PORT  =  uvm_object
) extends uvm_port_component_base
See description of uvm_port_component_base for information about this class
virtual class uvm_port_component_base extends uvm_component
This class defines an interface for obtaining a port’s connectivity lists after or during the end_of_elaboration phase.
Specifies the type of port
After the SW has configured the DUT.
After enough of the primary test stimulus.
After reset is de-asserted.
After things have settled down.
Before the DUT is configured by the SW.
Before the primary test stimulus starts.
Before reset is asserted.
Before things settle down.
Operation derived from observations by a bus monitor via the uvm_reg_predictor class.
Predicted value is as-is
How the mirror is to be updated
Predict based on the specified value having been read
Predict based on the specified value having been written
virtual class uvm_printer
The uvm_printer class provides an interface for printing uvm_objects in various formats.
class uvm_printer_knobs
The uvm_printer_knobs class defines the printer settings available to all printer subtypes.
class uvm_push_driver #( type  REQ  =  uvm_sequence_item,
type  RSP  =  REQ ) extends uvm_component
Base class for a driver that passively receives transactions, i.e.
class uvm_push_sequencer #(
    type  REQ  =  uvm_sequence_item,
      RSP  =  REQ
) extends uvm_sequencer_param_base #(REQ, RSP)
class uvm_queue #( type  T  =  int ) extends uvm_object
Implements a class-based dynamic queue.
Specifies the radix to print or record in.
an objection was raised
class uvm_random_stimulus #( type  T  =  uvm_transaction ) extends uvm_component
A general purpose unidirectional random stimulus class.
Read operation
class uvm_recorder extends uvm_object
The uvm_recorder class provides a policy object for recording uvm_objects.
Specifies the policy for copying objects.
Only object handles are copied.
virtual class uvm_reg extends uvm_object
Register abstraction base class
Register
class uvm_reg_access_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the accessibility of all registers in a block by executing the uvm_reg_single_access_seq sequence on every register within it.
virtual class uvm_reg_adapter extends uvm_object
This class defines an interface for converting between uvm_reg_bus_op and a specific bus transaction.
4-state address value with `UVM_REG_ADDR_WIDTH bits
2-state address value with `UVM_REG_ADDR_WIDTH bits
class uvm_reg_backdoor extends uvm_object
Base class for user-defined back-door register and memory access.
Convenience callback type declaration for backdoor
Convenience callback iterator type declaration for backdoor
class uvm_reg_bit_bash_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the implementation of all registers in a block by executing the uvm_reg_single_bit_bash_seq sequence on it.
virtual class uvm_reg_block extends uvm_object
Block abstraction base class
Struct that defines a generic bus transaction for register and memory accesses, having kind (read or write), address, data, and byte enable information.
2-state byte_enable value with `UVM_REG_BYTENABLE_WIDTH bits
Convenience callback type declaration for registers
Convenience callback iterator type declaration for registers
virtual class uvm_reg_cbs extends uvm_callback
Facade class for field, register, memory and backdoor access callback methods.
Coverage model value set with `UVM_REG_CVR_WIDTH bits.
4-state data value with `UVM_REG_DATA_WIDTH bits
2-state data value with `UVM_REG_DATA_WIDTH bits
class uvm_reg_field extends uvm_object
Field abstraction class
Convenience callback type declaration for fields
Convenience callback iterator type declaration for fields
class uvm_reg_fifo extends uvm_reg
This special register models a DUT FIFO accessed via write/read, where writes push to the FIFO and reads pop from it.
virtual class uvm_reg_file extends uvm_object
Register file abstraction base class
virtual class uvm_reg_frontdoor extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_sequence_item)
)
Facade class for register and memory frontdoor access.
class uvm_reg_hw_reset_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Test the hard reset values of registers
class uvm_reg_indirect_data extends uvm_reg
Indirect data access abstraction class
class uvm_reg_item extends uvm_sequence_item
Defines an abstract register transaction item.
class uvm_reg_map extends uvm_object
class uvm_reg_mem_access_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the accessibility of all registers and memories in a block by executing the uvm_reg_access_seq and uvm_mem_access_seq sequence respectively on every register and memory within it.
class uvm_reg_mem_built_in_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Sequence that executes a user-defined selection of pre-defined register and memory test sequences.
class uvm_reg_mem_hdl_paths_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the correctness of HDL paths specified for registers and memories.
class uvm_reg_mem_shared_access_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the accessibility of all shared registers and memories in a block by executing the uvm_reg_shared_access_seq and uvm_mem_shared_access_seq sequence respectively on every register and memory within it.
Select which pre-defined test sequence to execute.
class uvm_reg_predictor #( type  BUSTYPE  =  int ) extends uvm_component
Updates the register model mirror based on observed bus transactions
class uvm_reg_read_only_cbs extends uvm_reg_cbs
Pre-defined register callback method for read-only registers that will issue an error if a write() operation is attempted.
class uvm_reg_sequence #( type  BASE  =  uvm_sequence #(uvm_reg_item) ) extends BASE
This class provides base functionality for both user-defined RegModel test sequences and “register translation sequences”.
class uvm_reg_shared_access_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the accessibility of a shared register by writing through each address map then reading it via every other address maps in which the register is readable and the backdoor, making sure that the resulting value matches the mirrored value.
class uvm_reg_single_access_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the accessibility of a register by writing through its default address map then reading it via the backdoor, then reversing the process, making sure that the resulting value matches the mirrored value.
class uvm_reg_single_bit_bash_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the implementation of a single register by attempting to write 1’s and 0’s to every bit in it, via every address map in which the register is mapped, making sure that the resulting value matches the mirrored value.
class uvm_reg_tlm_adapter extends uvm_reg_adapter
For converting between uvm_reg_bus_op and uvm_tlm_gp items.
class uvm_reg_write_only_cbs extends uvm_reg_cbs
Pre-defined register callback method for write-only registers that will issue an error if a read() operation is attempted.
typedef class uvm_report_catcher
The uvm_report_catcher is used to catch messages issued by the uvm report server.
function bit uvm_report_enabled ( int  verbosity,   
uvm_severity  severity  =  UVM_INFO,
string  id  =  "" )
Returns 1 if the configured verbosity in uvm_top is greater than verbosity and the action associated with the given severity and id is not UVM_NO_ACTION, else returns 0.
function int uvm_report_enabled( int  verbosity,   
uvm_severity  severity  =  UVM_INFO,
string  id  =  "" )
Returns 1 if the configured verbosity for this severity/id is greater than verbosity and the action associated with the given severity and id is not UVM_NO_ACTION, else returns 0.
function void uvm_report_error( string  id,   
string  message,   
int  verbosity  =  UVM_LOW,
string  filename  =  "",
int  line  =  0 )
protected function void uvm_report_error( string  id,   
string  message,   
int  verbosity,   
string  fname  =  "",
int  line  =  0 )
Issues a error message using the current messages report object.
virtual function void uvm_report_error( string  id,   
string  message,   
int  verbosity  =  UVM_LOW,
string  filename  =  "",
int  line  =  0 )
virtual function void uvm_report_error( string  id,   
string  message,   
int  verbosity  =  UVM_LOW,
string  filename  =  "",
int  line  =  0 )
function void uvm_report_fatal( string  id,   
string  message,   
int  verbosity  =  UVM_NONE,
string  filename  =  "",
int  line  =  0 )
These methods, defined in package scope, are convenience functions that delegate to the corresponding component methods in uvm_top.
protected function void uvm_report_fatal( string  id,   
string  message,   
int  verbosity,   
string  fname  =  "",
int  line  =  0 )
Issues a fatal message using the current messages report object.
virtual function void uvm_report_fatal( string  id,   
string  message,   
int  verbosity  =  UVM_NONE,
string  filename  =  "",
int  line  =  0 )
These are the primary reporting methods in the UVM.
virtual function void uvm_report_fatal( string  id,   
string  message,   
int  verbosity  =  UVM_NONE,
string  filename  =  "",
int  line  =  0 )
These are the primary reporting methods in the UVM.
The uvm_report_handler is the class to which most methods in uvm_report_object delegate.
function void uvm_report_info( string  id,   
string  message,   
int  verbosity  =  UVM_MEDIUM,
string  filename  =  "",
int  line  =  0 )
protected function void uvm_report_info( string  id,   
string  message,   
int  verbosity,   
string  fname  =  "",
int  line  =  0 )
Issues a info message using the current messages report object.
virtual function void uvm_report_info( string  id,   
string  message,   
int  verbosity  =  UVM_MEDIUM,
string  filename  =  "",
int  line  =  0 )
virtual function void uvm_report_info( string  id,   
string  message,   
int  verbosity  =  UVM_MEDIUM,
string  filename  =  "",
int  line  =  0 )
class uvm_report_object extends uvm_object
The uvm_report_object provides an interface to the UVM reporting facility.
Report results of the test.
uvm_report_server is a global server that processes all of the reports generated by an uvm_report_handler.
function void uvm_report_warning( string  id,   
string  message,   
int  verbosity  =  UVM_MEDIUM,
string  filename  =  "",
int  line  =  0 )
protected function void uvm_report_warning( string  id,   
string  message,   
int  verbosity,   
string  fname  =  "",
int  line  =  0 )
Issues a warning message using the current messages report object.
virtual function void uvm_report_warning( string  id,   
string  message,   
int  verbosity  =  UVM_MEDIUM,
string  filename  =  "",
int  line  =  0 )
virtual function void uvm_report_warning( string  id,   
string  message,   
int  verbosity  =  UVM_MEDIUM,
string  filename  =  "",
int  line  =  0 )
the phase was in the path of a backwards jump
Reset is asserted.
class uvm_resource #( type  T  =  int ) extends uvm_resource_base
Parameterized resource.
virtual class uvm_resource_base extends uvm_object
Non-parameterized base class for resources.
class uvm_resource_db #( type  T  =  uvm_object )
The uvm_resource_db#(T) class provides a convenience interface for the resources facility.
Provides a namespace for managing options for the resources facility.
class uvm_resource_pool
The global (singleton) resource database.
class uvm_resource_types
Provides typedefs and enums used throughout the resources facility.
The uvm_root class serves as the implicit top-level and phase controller for all UVM components.
Stimulate the DUT.
virtual class uvm_scoreboard extends uvm_component
The uvm_scoreboard virtual class should be used as the base class for user-defined scoreboards.
class uvm_seq_item_pull_export #(
    type  REQ  =  int,
    type  RSP  =  REQ
) extends uvm_port_base #(uvm_sqr_if_base #(REQ, RSP))
This export type is used in sequencer-driver communication.
class uvm_seq_item_pull_imp #(
    type  REQ  =  int,
    type  RSP  =  REQ,
    type  IMP  =  int
) extends uvm_port_base #(uvm_sqr_if_base #(REQ, RSP))
This imp type is used in sequencer-driver communication.
class uvm_seq_item_pull_port #(
    type  REQ  =  int,
    type  RSP  =  REQ
) extends uvm_port_base #(uvm_sqr_if_base #(REQ, RSP))
UVM provides a port, export, and imp connector for use in sequencer-driver communication.
Emit only items, no sequence execution
Random sequence selection
Random cyclic sequence selection
Apply a user-defined random-selection algorithm
virtual class uvm_sequence #(
    type  REQ  =  uvm_sequence_item,
    type  RSP  =  REQ
) extends uvm_sequence_base
The uvm_sequence class provides the interfaces necessary in order to create streams of sequence items and/or other sequences.
class uvm_sequence_base extends uvm_sequence_item
The uvm_sequence_base class provides the interfaces needed to create streams of sequence items and/or other sequences.
class uvm_sequence_item extends uvm_transaction
The base class for user-defined sequence items and also the base class for the uvm_sequence class.
Specifies the random selection mode of a sequence library
Defines current sequence state
class uvm_sequencer #(
    type  REQ  =  uvm_sequence_item,
      RSP  =  REQ
) extends uvm_sequencer_param_base #(REQ, RSP)
Specifies a sequencer’s arbitration mode
class uvm_sequencer_base extends uvm_component
Controls the flow of sequences, which generate the stimulus (sequence item transactions) that is passed on to drivers for execution.
class uvm_sequencer_param_base #(
    type  REQ  =  uvm_sequence_item,
    type  RSP  =  REQ
) extends uvm_sequencer_base
Extends uvm_sequencer_base with an API depending on specific request (REQ) and response (RSP) types.
Defines all possible values for report severity.
Objects are shallow copied using default SV copy.
Letting things settle down.
the phase was in the path of a forward jump
function automatic void uvm_split_string ( string  str,
byte  sep,
ref  string  values[$] )
Returns a queue of strings, values, that is the result of the str split based on the sep.
virtual class uvm_sqr_if_base #( type  T1  =  uvm_object,
  T2  =  T1 )
This class defines an interface for sequence drivers to communicate with sequencers.
Get ready for DUT to be simulated.
Return status for register operations
Causes $stop to be executed, putting the simulation into interactive mode.
Selects string (%s) format
function logic[UVM_LARGE_STRING:0] uvm_string_to_bits( string  str )
Converts an input string to its bit-vector equivalent.
virtual class uvm_subscriber #( type  T  =  int ) extends uvm_component
This class provides an analysis export for receiving transactions from a connected analysis export.
class uvm_table_printer extends uvm_printer
The table printer prints output in a tabular format.
virtual class uvm_task_phase extends uvm_phase
Base class for all task phases.
virtual class uvm_test extends uvm_component
This class is the virtual base class for the user-defined tests.
Selects time (%t) format
Transaction has been accepted
Invalid address specified
class uvm_tlm_analysis_fifo #( type  T  =  int ) extends uvm_tlm_fifo #(T)
An analysis_fifo is a uvm_tlm_fifo with an unbounded size and a write interface.
class uvm_tlm_b_initiator_socket #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_tlm_b_initiator_socket_base #(T)
IS-A forward port; has no backward path except via the payload contents
class uvm_tlm_b_initiator_socket_base #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_port_base #(uvm_tlm_if #(T))
IS-A forward port; has no backward path except via the payload contents
class uvm_tlm_b_passthrough_initiator_socket #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_tlm_b_passthrough_initiator_socket_base #(T)
IS-A forward port;
class uvm_tlm_b_passthrough_initiator_socket_base #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_port_base #(uvm_tlm_if #(T))
IS-A forward port
class uvm_tlm_b_passthrough_target_socket #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_tlm_b_passthrough_target_socket_base #(T)
IS-A forward export;
class uvm_tlm_b_passthrough_target_socket_base #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_port_base #(uvm_tlm_if #(T))
IS-A forward export
class uvm_tlm_b_target_socket #(
    type  IMP  =  int,
    type  T  =  uvm_tlm_generic_payload
) extends uvm_tlm_b_target_socket_base #(T)
IS-A forward imp; has no backward path except via the payload contents.
class uvm_tlm_b_target_socket_base #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_port_base #(uvm_tlm_if #(T))
IS-A forward imp; has no backward path except via the payload contents.
class uvm_tlm_b_transport_export #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_port_base #(uvm_tlm_if #(T))
Blocking transport export class.
class uvm_tlm_b_transport_imp #(
    type  T  =  uvm_tlm_generic_payload,
    type  IMP  =  int
) extends uvm_port_base #(uvm_tlm_if #(T))
Used like exports, except an addtional class parameter specifices the type of the implementation object.
class uvm_tlm_b_transport_port #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_port_base #(uvm_tlm_if #(T))
Class providing the blocking transport port, The port can be bound to one export.
Invalid burst specified
Invalid byte enabling specified
Command atribute type definition
Invalid command specified
Execution of transaction is complete
class uvm_tlm_extension #( type  T  =  int ) extends uvm_tlm_extension_base
TLM extension class.
virtual class uvm_tlm_extension_base extends uvm_object
The class uvm_tlm_extension_base is the non-parameterized base class for all generic payload extensions.
class uvm_tlm_fifo #( type  T  =  int ) extends uvm_tlm_fifo_base #(T)
This class provides storage of transactions between two independently running processes.
virtual class uvm_tlm_fifo_base #( type  T  =  int ) extends uvm_component
This class is the base for uvm_tlm_fifo #(T).
Bus operation had an error
class uvm_tlm_generic_payload extends uvm_sequence_item
This class provides a transaction definition commonly used in memory-mapped bus-based systems.
typedef uvm_tlm_generic_payload uvm_tlm_gp
This typedef provides a short, more convenient name for the uvm_tlm_generic_payload type.
class uvm_tlm_if #( type  T  =  uvm_tlm_generic_payload,
type  P  =  uvm_tlm_phase_e )
Base class type to define the transport functions.
virtual class uvm_tlm_if_base #( type  T1  =  int,
type  T2  =  int )
This class declares all of the methods of the TLM API.
No bus operation.
Transaction was not delivered to target
class uvm_tlm_nb_initiator_socket #(
    type  IMP  =  int,
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_tlm_nb_initiator_socket_base #(T,P)
IS-A forward port; HAS-A backward imp
class uvm_tlm_nb_initiator_socket_base #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
IS-A forward port; HAS-A backward imp
class uvm_tlm_nb_passthrough_initiator_socket #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_tlm_nb_passthrough_initiator_socket_base #(T,P)
IS-A forward port; HAS-A backward export
class uvm_tlm_nb_passthrough_initiator_socket_base #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
IS-A forward port; HAS-A backward export
class uvm_tlm_nb_passthrough_target_socket #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_tlm_nb_passthrough_target_socket_base #(T,P)
IS-A forward export; HAS-A backward port
class uvm_tlm_nb_passthrough_target_socket_base #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
IS-A forward export; HAS-A backward port
class uvm_tlm_nb_target_socket #(
    type  IMP  =  int,
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_tlm_nb_target_socket_base #(T,P)
IS-A forward imp; HAS-A backward port
class uvm_tlm_nb_target_socket_base #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
IS-A forward imp; HAS-A backward port
class uvm_tlm_nb_transport_bw_export #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
Non-blocking backward transport export class
class uvm_tlm_nb_transport_bw_imp #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e,
    type  IMP  =  int
) extends uvm_port_base #(uvm_tlm_if #(T,P))
Used like exports, except an addtional class parameter specifices the type of the implementation object.
class uvm_tlm_nb_transport_bw_port #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
Class providing the non-blocking backward transport port.
class uvm_tlm_nb_transport_fw_export #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
Non-blocking forward transport export class
class uvm_tlm_nb_transport_fw_imp #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e,
    type  IMP  =  int
) extends uvm_port_base #(uvm_tlm_if #(T,P))
Used like exports, except an addtional class parameter specifices the type of the implementation object.
class uvm_tlm_nb_transport_fw_port #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
Class providing the non-blocking backward transport port.
Bus operation completed succesfully
Nonblocking transport synchronization state values between an initiator and a target.
Bus read operation
class uvm_tlm_req_rsp_channel #( type  REQ  =  int,
type  RSP  =  REQ ) extends uvm_component
The uvm_tlm_req_rsp_channel contains a request FIFO of type REQ and a response FIFO of type RSP.
Respone status attribute type definition
Pre-defined phase state values for the nonblocking transport Base Protocol between an initiator and a target.
class uvm_tlm_time
Canonical time type that can be used in different timescales
class uvm_tlm_transport_channel #(
    type  REQ  =  int,
    type  RSP  =  REQ
) extends uvm_tlm_req_rsp_channel #(REQ, RSP)
A uvm_tlm_transport_channel is a uvm_tlm_req_rsp_channel #(REQ,RSP) that implements the transport interface.
Transaction has been modified
Bus write operation
const uvm_root uvm_top = uvm_root::get()
This is the top-level that governs phase execution and provides component search interface.
virtual class uvm_topdown_phase extends uvm_phase
Virtual base class for function phases that operate top-down.
virtual class uvm_transaction extends uvm_object
The uvm_transaction class is the root base class for UVM transactions.
class uvm_tree_printer extends uvm_printer
By overriding various methods of the uvm_printer super class, the tree printer prints output in a tree format.
Selects unsigned decimal (%u) format
class uvm_utils #( type  TYPE  =  int,
string  FIELD  =  "config" )
This class contains useful template functions.
Defines standard verbosity levels for reports.
The uvm_void class is the base class for all UVM classes.
A virtual register is a collection of fields, overlaid on top of a memory, usually in an array.
Convenience callback type declaration
Convenience callback iterator type declaration
class uvm_vreg_cbs extends uvm_callback
Pre/post read/write callback facade class
class uvm_vreg_field extends uvm_object
Virtual field abstraction class
Convenience callback type declaration
Convenience callback iterator type declaration
class uvm_vreg_field_cbs extends uvm_callback
Pre/post read/write callback facade class
task uvm_wait_for_nba_region
Callers of this task will not return until the NBA region, thus allowing other processes any number of delta cycles (#0) to settle out before continuing.
Specifies the operand when using methods like uvm_phase::wait_for_state.
Indicates a potential problem.
Write operation