Macro Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
$#!
 `uvm_analysis_imp_decl
 `uvm_blocking_get_imp_decl
 `uvm_blocking_get_peek_imp_decl
 `uvm_blocking_master_imp_decl
 `uvm_blocking_peek_imp_decl
 `uvm_blocking_put_imp_decl
 `uvm_blocking_slave_imp_decl
 `uvm_blocking_transport_imp_decl
 `uvm_component_end
 `uvm_component_param_utils
 `uvm_component_param_utils_begin
 `uvm_component_registry
 `uvm_component_utils
 `uvm_component_utils_begin
 `uvm_create
 `uvm_create_on
 `uvm_declare_p_sequencer
 `UVM_DEFAULT_TIMEOUT
 `uvm_do
 `uvm_do_callbacks
 `uvm_do_callbacks_exit_on
 `uvm_do_obj_callbacks
 `uvm_do_obj_callbacks_exit_on
 `uvm_do_on
 `uvm_do_on_pri
 `uvm_do_on_pri_with
 `uvm_do_on_with
 `uvm_do_pri
 `uvm_do_pri_with
 `uvm_do_with
 `uvm_error
 `uvm_error_context
 `uvm_fatal
 `uvm_fatal_context
 `uvm_field_aa_int_byte
 `uvm_field_aa_int_byte_unsigned
 `uvm_field_aa_int_enumkey
 `uvm_field_aa_int_int
 `uvm_field_aa_int_int_unsigned
 `uvm_field_aa_int_integer
 `uvm_field_aa_int_integer_unsigned
 `uvm_field_aa_int_key
 `uvm_field_aa_int_longint
 `uvm_field_aa_int_longint_unsigned
 `uvm_field_aa_int_shortint
 `uvm_field_aa_int_shortint_unsigned
 `uvm_field_aa_int_string
 `uvm_field_aa_object_int
 `uvm_field_aa_object_string
 `uvm_field_aa_string_string
 `uvm_field_array_enum
 `uvm_field_array_int
 `uvm_field_array_object
 `uvm_field_array_string
 `uvm_field_enum
 `uvm_field_event
 `uvm_field_int
 `uvm_field_object
 `uvm_field_queue_enum
 `uvm_field_queue_int
 `uvm_field_queue_object
 `uvm_field_queue_string
 `uvm_field_real
 `uvm_field_sarray_enum
 `uvm_field_sarray_int
 `uvm_field_sarray_object
 `uvm_field_sarray_string
 `uvm_field_string
 `uvm_field_utils_begin
 `uvm_field_utils_end
 `uvm_get_imp_decl
 `uvm_get_peek_imp_decl
 `uvm_info
 `uvm_info_context
 `uvm_master_imp_decl
 `UVM_MAX_STREAMBITS
 `uvm_nonblocking_get_imp_decl
 `uvm_nonblocking_get_peek_imp_decl
 `uvm_nonblocking_master_imp_decl
 `uvm_nonblocking_peek_imp_decl
 `uvm_nonblocking_put_imp_decl
 `uvm_nonblocking_slave_imp_decl
 `uvm_nonblocking_transport_imp_decl
 `uvm_object_param_utils
 `uvm_object_param_utils_begin
 `uvm_object_registry
 `uvm_object_utils
 `uvm_object_utils_begin
 `uvm_object_utils_end
 `uvm_pack_array
 `uvm_pack_arrayN
 `uvm_pack_enum
 `uvm_pack_enumN
 `uvm_pack_int
 `uvm_pack_intN
 `uvm_pack_queue
 `uvm_pack_queueN
 `uvm_pack_real
 `uvm_pack_sarray
 `uvm_pack_sarrayN
 `uvm_pack_string
 `UVM_PACKER_MAX_BYTES
 `uvm_peek_imp_decl
 `uvm_put_imp_decl
 `uvm_rand_send
 `uvm_rand_send_pri
 `uvm_rand_send_pri_with
 `uvm_rand_send_with
 `uvm_record_attribute
 `uvm_record_field
 `UVM_REG_ADDR_WIDTH
 `UVM_REG_BYTENABLE_WIDTH
 `UVM_REG_CVR_WIDTH
 `UVM_REG_DATA_WIDTH
 `uvm_register_cb
 `uvm_send
 `uvm_send_pri
 `uvm_set_super_type
 `uvm_slave_imp_decl
 `UVM_TLM_B_MASK
 `UVM_TLM_B_TRANSPORT_IMP
 `UVM_TLM_FUNCTION_ERROR
 `UVM_TLM_NB_BW_MASK
 `UVM_TLM_NB_FW_MASK
 `UVM_TLM_NB_TRANSPORT_BW_IMP
 `UVM_TLM_NB_TRANSPORT_FW_IMP
 `UVM_TLM_TASK_ERROR
 `uvm_transport_imp_decl
 `uvm_unpack_array
 `uvm_unpack_arrayN
 `uvm_unpack_enum
 `uvm_unpack_enumN
 `uvm_unpack_int
 `uvm_unpack_intN
 `uvm_unpack_queue
 `uvm_unpack_queueN
 `uvm_unpack_real
 `uvm_unpack_sarray
 `uvm_unpack_sarrayN
 `uvm_unpack_string
 `uvm_warning
 `uvm_warning_context
uvm_component-based class declarations may contain one of the above forms of utility macros.
Registers a uvm_component-based class with the factory
This macro is used to declare a variable p_sequencer whose type is specified by SEQUENCER.
The default timeout for all phases, if not overridden by uvm_root::set_timeout or +UVM_TIMEOUT
Implements the data operations for an associative array of integral types indexed by the byte data type.
Implements the data operations for an associative array of integral types indexed by the byte unsigned data type.
Implements the data operations for an associative array of integral types indexed by any enumeration key data type.
Implements the data operations for an associative array of integral types indexed by the int data type.
Implements the data operations for an associative array of integral types indexed by the int unsigned data type.
Implements the data operations for an associative array of integral types indexed by the integer data type.
Implements the data operations for an associative array of integral types indexed by the integer unsigned data type.
Implements the data operations for an associative array of integral types indexed by any integral key data type.
Implements the data operations for an associative array of integral types indexed by the longint data type.
Implements the data operations for an associative array of integral types indexed by the longint unsigned data type.
Implements the data operations for an associative array of integral types indexed by the shortint data type.
Implements the data operations for an associative array of integral types indexed by the shortint unsigned data type.
Implements the data operations for an associative array of integrals indexed by string.
Implements the data operations for an associative array of uvm_object-based objects indexed by the int data type.
Implements the data operations for an associative array of uvm_object-based objects indexed by string.
Implements the data operations for an associative array of strings indexed by string.
Implements the data operations for a one-dimensional dynamic array of enums.
Implements the data operations for a one-dimensional dynamic array of integrals.
Implements the data operations for a one-dimensional dynamic array of uvm_object-based objects.
Implements the data operations for a one-dimensional dynamic array of strings.
Implements the data operations for an enumerated property.
Implements the data operations for an event property.
Implements the data operations for any packed integral property.
Implements the data operations for an uvm_object-based property.
Implements the data operations for a one-dimensional queue of enums.
Implements the data operations for a queue of integrals.
Implements the data operations for a queue of uvm_object-based objects.
Implements the data operations for a queue of strings.
Implements the data operations for any real property.
Implements the data operations for a one-dimensional static array of enums.
Implements the data operations for a one-dimensional static array of integrals.
Implements the data operations for a one-dimensional static array of uvm_object-based objects.
Implements the data operations for a one-dimensional static array of strings.
Implements the data operations for a string property.
These macros form a block in which `uvm_field_* macros can be placed.
Defines the maximum bit vector size for integral types.
Register a uvm_object-based class with the factory
uvm_object-based class declarations may contain one of the above forms of utility macros.
Pack a dynamic array without having to also specify the bit size of its elements.
Pack a dynamic array of integrals.
Pack an enumeration value.
Pack an integral variable.
Pack an integral variable without having to also specify the bit size.
Pack an integral variable.
Pack a queue without having to also specify the bit size of its elements.
Pack a queue of integrals.
Pack a variable of type real.
Pack a static array without having to also specify the bit size of its elements.
Pack a static array of integrals.
Pack a string variable.
Defines the maximum bytes to allocate for packing an object using the uvm_packer.
Vendor-independent macro for recording attributes (fields) to a vendor-specific transaction database.
Macro for recording name-value pairs into a transaction recording database.
Maximum address width in bits
Maximum number of byte enable bits
Maximum number of bits in a uvm_reg_cvr_t coverage model set.
Maximum data width in bits
Define blocking mask onehot assignment = ‘b100
The macro wraps the function b_transport() Execute a blocking transaction.
Defines Not-Yet-Implemented TLM functions
Define Non blocking backward mask onehot assignment = ‘b010
Define Non blocking Forward mask onehot assignment = ‘b001
Implementation of the backward path.
The macro wraps the forward path call function nb_transport_fw()
Defines Not-Yet-Implemented TLM tasks
Unpack a dynamic array without having to also specify the bit size of its elements.
Unpack into a dynamic array of integrals.
Unpack an enumeration value, which requires its type be specified.
Unpack enum of type TYPE into VAR.
Unpack an integral variable without having to also specify the bit size.
Unpack into an integral variable.
Unpack a queue without having to also specify the bit size of its elements.
Unpack into a queue of integrals.
Unpack a variable of type real.
Unpack a static array without having to also specify the bit size of its elements.
Unpack a static (fixed) array of integrals.
Pack a string variable.