$#! | |
+UVM_CONFIG_DB_TRACE | |
+UVM_DUMP_CMDLINE_ARGS | |
+UVM_MAX_QUIT_COUNT | |
+UVM_OBJECTION_TRACE | |
+UVM_PHASE_TRACE | |
+UVM_RESOURCE_DB_TRACE | |
+uvm_set_action | |
+uvm_set_config_int,+uvm_set_config_string | |
+uvm_set_inst_override,+uvm_set_type_override | |
+uvm_set_severity | |
+uvm_set_verbosity | |
+UVM_TESTNAME | |
+UVM_TIMEOUT | |
+UVM_VERBOSITY | |
A | |
abstract | |
abstractions | |
adapter | |
addr | |
B | |
bd_kind | |
begin_elements | |
begin_event | |
big_endian | |
bin_radix | |
body | |
bus_in | |
byte_en | |
C | |
check_type | |
D | |
data | |
dec_radix | |
default_alloc | |
default_map | |
default_path | |
default_precedence | |
default_radix | |
depth | |
do_not_randomize | |
E | |
element | |
element_kind | |
enable_print_topology | |
end_elements | |
end_event | |
end_offset | |
events | |
extension | |
F | |
fifo | |
finish_on_completion | |
fname | |
footer | |
full_name | |
H | |
header | |
hex_radix | |
I | |
id_count | |
identifier | |
in_use | |
indent | |
K | |
kind | |
knobs | |
L | |
len | |
lineno | |
local_map | |
locality | |
M | |
m_address | |
m_byte_enable | |
m_byte_enable_length | |
m_command | |
m_data | |
m_dmi | |
m_length | |
m_response_status | |
m_streaming_width | |
mam | |
map | |
max_offset | |
mcd | |
mem | |
mem_seq | |
min_offset | |
miscompares | |
mode | |
model | |
N | |
n_bits | |
n_bytes | |
new | |
O | |
oct_radix | |
offset | |
P | |
parent | |
parent_sequence | |
path | |
physical | |
policy | |
precedence | |
prefix | |
print_config_matches | |
print_enabled | |
prior | |
provides_responses | |
R | |
recorder | |
recursion_policy | |
reference | |
reg_ap | |
reg_seq | |
reg_seqr | |
result | |
rg | |
rw_info | |
S | |
separator | |
seq_item_export | |
sequencer | |
sev | |
show_max | |
show_radix | |
show_root | |
size | |
slices | |
start_offset | |
starting_phase | |
status | |
supports_byte_enable | |
T | |
T1 first | |
T2 second | |
tests | |
top_levels | |
tr_handle | |
type_name | |
U | |
unsigned_radix | |
use_metadata | |
use_uvm_seeding | |
uvm_default_comparer | |
uvm_default_line_printer | |
uvm_default_packer | |
uvm_default_printer | |
uvm_default_recorder | |
uvm_default_table_printer | |
uvm_default_tree_printer | |
UVM_HDL_MAX_WIDTH | |
uvm_top | |
V | |
value | |
verbosity |
This bit provides a filtering mechanism for fields.
bit abstract = 1
This bit provides a filtering mechanism for fields.
bit abstract
This bit provides a filtering mechanism for fields.
bit abstract = 1
If set, check the HDL paths for the specified design abstractions.
string abstractions[$]
The adapter used to convey the parameters of a bus operation in terms of a canonical uvm_reg_bus_op datum.
uvm_reg_adapter adapter
Adapter to use for translating between abstract register transactions and physical bus transactions, defined only when this sequence is a translation sequence.
uvm_reg_adapter adapter
The bus address.
uvm_reg_addr_t addr
If path is UVM_BACKDOOR, this member specifies the abstraction kind for the backdoor access, e.g.
string bd_kind
Defines the number of elements at the head of a list to print.
int begin_elements = 5
A uvm_event that is triggered when this transaction’s actual execution on the bus begins, typically as a result of a driver calling uvm_component::begin_tr.
uvm_event begin_event
This bit determines the order that integral data is packed (using pack_field, pack_field_int, pack_time, or pack_real) and how the data is unpacked from the pack array (using unpack_field, unpack_field_int, unpack_time, or unpack_real).
bit big_endian = 1
This string should be prepended to the value of an integral type when a radix of UVM_BIN is used for the radix of the integral object.
string bin_radix = "'b"
Executes the Hardware Reset sequence.
virtual task body()
Observed bus transactions of type BUSTYPE are received from this port and processed.
uvm_analysis_imp #( BUSTYPE, uvm_reg_predictor #(BUSTYPE) ) bus_in
Enables for the byte lanes on the bus.
uvm_reg_byte_en_t byte_en
This bit determines whether the type, given by uvm_object::get_type_name, is used to verify that the types of two objects are the same.
bit check_type = 1
The data to write.
uvm_reg_data_t data
This string should be prepended to the value of an integral type when a radix of UVM_DEC is used for the radix of the integral object.
string dec_radix = "'d"
Region allocation policy
uvm_mem_mam_policy default_alloc
Default address map
uvm_reg_map default_map
Default access path for the registers and memories in this block.
uvm_path_e default_path = UVM_DEFAULT_PATH
The default precedence for an resource that has been created.
static int unsigned default_precedence = 1000
This knob sets the default radix to use for integral values when no radix enum is explicitly supplied to the print_int() method.
uvm_radix_enum default_radix = UVM_HEX
This is the default radix setting if record_field is called without a radix.
uvm_radix_enum default_radix = UVM_HEX
Indicates how deep to recurse when printing objects.
int depth = -1
If set, prevents the sequence from being randomized before being executed by the `uvm_do*() and `uvm_rand_send*() macros, or as a default sequence.
bit do_not_randomize
A handle to the RegModel model element associated with this transaction.
uvm_object element
Kind of element being accessed: REG, MEM, or FIELD.
uvm_elem_kind_e element_kind
If set, then the entire testbench topology is printed just after completion of the end_of_elaboration phase.
bit enable_print_topology = 0
This defines the number of elements at the end of a list that should be printed.
int end_elements = 5
A uvm_event that is triggered when this transaction’s actual execution on the bus ends, typically as a result of a driver calling uvm_component::end_tr.
uvm_event end_event
Last address of managed space
rand bit [63:0] end_offset
The event pool instance for this transaction.
const uvm_event_pool events = new
Handle to optional user data, as conveyed in the call to write(), read(), mirror(), or update() used to trigger the operation.
rand uvm_object extension
The abstract representation of the FIFO.
rand uvm_reg_data_t fifo[$]
If set, then run_test will call $finish after all phases are executed.
bit finish_on_completion = 1
The file name from where this transaction originated, if provided at the call site.
string fname
Indicates whether the print_footer function should be called when printing an object.
bit footer = 1
Indicates whether adjust_name should print the full name of an identifier or just the leaf name.
bit full_name = 0
Indicates whether the print_header function should be called when printing an object.
bit header = 1
This string should be prepended to the value of an integral type when a radix of UVM_HEX is used for the radix of the integral object.
string hex_radix = "'h"
An associative array holding the number of occurences for each unique report ID.
protected int id_count[string]
Indicates whether adjust_name should print the identifier.
bit identifier = 1
This bit is used to specify whether or not an object’s reference should be recorded when the object is recorded.
bit identifier = 1
Regions already allocated in the managed address space
uvm_mem_region in_use[$]
This knob specifies the number of spaces to use for level indentation.
int indent = 2
Kind of access: READ or WRITE.
uvm_access_e kind
Kind of access: READ or WRITE.
rand uvm_access_e kind
The knob object provides access to the variety of knobs associated with a specific printer instance.
uvm_printer_knobs knobs = new
Number of addresses required
int unsigned len
The file name from where this transaction originated, if provided at the call site.
int lineno
The local map used to obtain addresses.
uvm_reg_map local_map
Region location mode
rand uvm_mem_mam::locality_e locality
Address for the bus operation.
rand bit [63:0] m_address
Indicates valid m_data array elements.
rand byte unsigned m_byte_enable[]
The number of elements in the m_byte_enable array.
rand int unsigned m_byte_enable_length
Bus operation type.
rand uvm_tlm_command_e m_command
Data read or to be written.
rand byte unsigned m_data[]
DMI mode is not yet supported in the UVM TLM2 subset.
bit m_dmi
The number of bytes to be copied to or from the m_data array, inclusive of any bytes disabled by the m_byte_enable attribute.
rand int unsigned m_length
Status of the bus operation.
rand uvm_tlm_response_status_e m_response_status
Number of bytes transferred on each beat.
rand int unsigned m_streaming_width
Memory allocation manager
uvm_mem_mam mam
The original map specified for the operation.
uvm_reg_map map
The map used to convert a bus address to the corresponding register or memory handle.
uvm_reg_map map
Maximum address offset in the managed address space
bit [63:0] max_offset
This is a file descriptor, or multi-channel descriptor, that specifies where the print output should be directed.
int mcd = UVM_STDOUT
The memory to be tested
uvm_mem mem
The memory to be tested
uvm_mem mem
The memory to test; must be assigned prior to starting sequence.
uvm_mem mem
The sequence used to test one memory
protected uvm_mem_single_access_seq mem_seq
The sequence used to test one memory
protected uvm_mem_single_walk_seq mem_seq
The sequence used to test one memory
protected uvm_mem_shared_access_seq mem_seq
Minimum address offset in the managed address space
bit [63:0] min_offset
This string is reset to an empty string when a comparison is started.
string miscompares = ""
Region allocation mode
rand uvm_mem_mam::alloc_mode_e mode
Block abstraction this sequence executes on, defined only when this sequence is a user-defined test sequence.
uvm_reg_block model
The number of bits of uvm_reg_item::value being transferred by this transaction.
int n_bits
Number of bytes in each memory location
rand int unsigned n_bytes
Creates a new instance of uvm_line_printer.
function new()
Creates a new instance of uvm_table_printer.
function new()
Creates a new instance of uvm_tree_printer.
function new()
This string should be prepended to the value of an integral type when a radix of UVM_OCT is used for the radix of the integral object.
string oct_radix = "'o"
For memory accesses, the offset address.
rand uvm_reg_addr_t offset
The sequence from which the operation originated.
rand uvm_sequence_base parent
Set this member in extensions of this class if the bus driver requires bus items be executed via a particular sequence base type.
uvm_sequence_base parent_sequence
The path being used: UVM_FRONTDOOR or UVM_BACKDOOR.
uvm_path_e path
This bit provides a filtering mechanism for fields.
bit physical = 1
This bit provides a filtering mechanism for fields.
bit physical = 1
This bit provides a filtering mechanism for fields.
bit physical = 1
Determines whether comparison is UVM_DEEP, UVM_REFERENCE, or UVM_SHALLOW.
uvm_recursion_policy_enum policy = UVM_DEFAULT_POLICY
This variable is used to associate a precedence that a resource has with respect to other resources which match the same scope and name.
int unsigned precedence
Specifies the string prepended to each output line
string prefix = ""
Setting this static variable causes get_config_* to print info about matching configuration settings as they are being applied.
static bit print_config_matches
This bit determines if this component should automatically be printed as a child of its parent object.
bit print_enabled = 1
The priority requested of this transfer, as defined by uvm_sequence_base::start_item.
int prior = -1
Set this bit in extensions of this class if the bus driver provides separate response items.
bit provides_responses
Specifies the uvm_recorder object to use for begin_tr and other methods in the Recording Interface.
uvm_recorder recorder
Sets the recursion policy for recording objects.
uvm_recursion_policy_enum policy = UVM_DEFAULT_POLICY
Controls whether to print a unique reference ID for object handles.
bit reference = 1
Analysis output port that publishes uvm_reg_item transactions converted from bus transactions received on bus_in.
uvm_analysis_port #( uvm_reg_item ) reg_ap
The sequence used to test one register
protected uvm_reg_single_access_seq reg_seq
The sequence used to test one register
protected uvm_reg_single_bit_bash_seq reg_seq
The sequence used to test one register
protected uvm_reg_shared_access_seq reg_seq
Layered upstream “register” sequencer.
uvm_sequencer #( uvm_reg_item ) reg_seqr
This bit stores the number of miscompares for a given compare operation.
int unsigned result = 0
The register to be tested
uvm_reg rg
The register to be tested
uvm_reg rg
The register to be tested
uvm_reg rg
Holds information about the register being read or written
uvm_reg_item rw_info
For tree printers only, determines the opening and closing separators used for nested objects.
string separator = "{}"
This export provides access to this sequencer’s implementation of the sequencer interface, uvm_sqr_if_base #(REQ,RSP), which defines the following methods:
uvm_seq_item_pull_imp #( REQ, RSP, this_type ) seq_item_export
Sequencer executing the operation
uvm_sequencer_base sequencer
Sets the severity for printed messages.
uvm_severity sev = UVM_INFO
Sets the maximum number of messages to send to the messager for miscompares of an object.
int unsigned show_max = 1
Indicates whether the radix string (‘h, and so on) should be prepended to an integral value when one is printed.
bit show_radix = 1
This setting indicates whether or not the initial object that is printed (when current depth is 0) prints the full path name.
bit show_root = 0
Controls whether to print a field’s size.
bit size = 1
Array of individual slices, stored in most-to-least significant order
uvm_hdl_path_slice slices[]
The starting offset of the region
rand bit [63:0] start_offset
If non-null, specifies the phase in which this sequence was started.
uvm_phase starting_phase
The result of the transaction: UVM_IS_OK, UVM_HAS_X, UVM_NOT_OK.
uvm_status_e status
The result of the transaction: IS_OK, HAS_X, or ERROR.
uvm_status_e status
Set this bit in extensions of this class if the bus protocol supports byte enables.
bit supports_byte_enable
The first value in the pair
T1 first
The handle to the first object in the pair
T1 first
The second value in the pair
T2 second
The handle to the second object in the pair
T2 second
The pre-defined test sequences to be executed.
bit [63:0] tests = UVM_DO_ALL_REG_MEM_TESTS
This variable is a list of all of the top level components in UVM.
uvm_component top_levels[$]
This is an integral handle to a transaction object.
integer tr_handle = 0
Controls whether to print a field’s type name.
bit type_name = 1
This is the string which should be prepended to the value of an integral type when a radix of UVM_UNSIGNED is used for the radix of the integral object.
string unsigned_radix = "'d"
This flag indicates whether to encode metadata when packing dynamic data, or to decode metadata when unpacking.
bit use_metadata
This bit enables or disables the UVM seeding mechanism.
static bit use_uvm_seeding = 1
The default compare policy.
uvm_comparer uvm_default_comparer = new()
The line printer is a global object that can be used with uvm_object::do_print to get single-line style printing.
uvm_line_printer uvm_default_line_printer = new()
The default packer policy.
uvm_packer uvm_default_packer = new()
The default printer policy.
uvm_printer uvm_default_printer = uvm_default_table_printer
The default recording policy.
uvm_recorder uvm_default_recorder = new()
The table printer is a global object that can be used with uvm_object::do_print to get tabular style printing.
uvm_table_printer uvm_default_table_printer = new()
The tree printer is a global object that can be used with uvm_object::do_print to get multi-line tree style printing.
uvm_tree_printer uvm_default_tree_printer = new()
Sets the maximum size bit vector for backdoor access.
parameter int UVM_HDL_MAX_WIDTH = `UVM_HDL_MAX_WIDTH
This is the top-level that governs phase execution and provides component search interface.
const uvm_root uvm_top = uvm_root::get()
Mirrored field value.
rand uvm_reg_data_t value
The value to write to, or after completion, the value read from the DUT.
rand uvm_reg_data_t value[]
Sets the verbosity for printed messages.
int unsigned verbosity = UVM_LOW