Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
P
 pack
 pack_bytes
 pack_field
 pack_field_int
 pack_ints
 pack_object
 pack_real
 pack_string
 pack_time
 Packing
 Packing Macros
 Packing-No Size Info
 Packing-With Size Info
 pair_ap
 parent
 parent_sequence
 path
 peek
 peek_mem
 peek_reg
 phase_ended
 phase_ready_to_end
 phase_started
 Phasing
 Phasing Implementation
 Phasing Interface
 Phasing Overview
 physical
 poke
 poke_mem
 poke_reg
 policy
 Policy Classes
 Pool Classes
 pop_back
 pop_front
 Port Base Classes
 Port Type
 Ports
 Ports,Exports,and Imps
 post_body
 POST_BODY
 post_configure_phase
 post_do
 post_main_phase
 post_predict
 post_randomize
 post_read
 post_reset_phase
 post_shutdown_phase
 post_start
 POST_START
 post_trigger
 post_write
 pre_abort
 pre_body
 PRE_BODY
 pre_configure_phase
 pre_do
 pre_main_phase
 pre_predict
 pre_randomize
 pre_read
 pre_reset_phase
 pre_shutdown_phase
 pre_start
 PRE_START
 pre_trigger
 pre_write
 precedence
 Predefined Component Classes
 Predefined Extensions
 predict
 prefix
 prev
 print
 print_accessors
 print_array_footer
 print_array_header
 print_array_range
 print_catcher
 print_config
 print_config_matches
 print_config_settings
 print_config_with_audit
 print_enabled
 print_generic
 print_int
 print_msg
 print_object
 print_override_info
 print_resources
 print_string
 print_time
 print_topology
 Printing
 prior
 Priority
 process_report
 provides_responses
 push_back
 push_front
 put
 Put
 put_ap
 put_export
 put_request_export
 put_response_export
R
 raise_objection
 raised
 read
 Read-only Interface
 Read/ Write Interface
 read_by_name
 read_by_type
 read_func
 read_mem
 read_mem_by_name
 read_reg
 read_reg_by_name
 reconfigure
 record
 record_error_tr
 record_event_tr
 record_field
 record_field_real
 record_generic
 record_object
 record_read_access
 record_string
 record_time
 record_write_access
 recorder
 Recording
 Recording Interface
 Recording Macros
 recursion_policy
 reference
 reg_ap
 reg_seq
 reg_seqr
 reg2bus
 register
 Register Access Test Sequences
 Register Callbacks
 Register Defines
 Register Layer
 Register Sequence Classes
 Registering Types
 release_all_regions
 release_region
 remove
 report
 Report Macros
 report_error_hook
 report_fatal_hook
 report_header
 report_hook
 report_info_hook
 report_phase
 report_summarize
 report_warning_hook
 Reporting
 Reporting Classes
 Reporting Interface
 req_export
 req_port
 request_ap
 request_region
 Requests
 reseed
 reserve_region
 reset
 reset_blk
 reset_phase
 reset_quit_count
 reset_report_handler
 reset_severity_counts
 resolve_bindings
 Resources
 Response API
 response_ap
 response_handler
 Responses
 result
 resume
 rg
 rsp_export
 rsp_port
 run_hooks
 run_phase
 run_test
 rw_info
function int pack ( ref  bit  bitstream[],   
input  uvm_packer  packer  =  null )
function int pack_bytes ( ref byte  unsigned  bytestream[],   
input  uvm_packer  packer  =  null )
virtual function void pack_field ( uvm_bitstream_t  value,
int  size )
Packs an integral value (less than or equal to 4096 bits) into the packed array.
virtual function void pack_field_int ( logic[63:0]  value,
int  size )
Packs the integral value (less than or equal to 64 bits) into the pack array.
function int pack_ints ( ref int  unsigned  intstream[],   
input  uvm_packer  packer  =  null )
The pack methods bitwise-concatenate this object’s properties into an array of bits, bytes, or ints.
virtual function void pack_object ( uvm_object  value )
Packs an object value into the pack array.
virtual function void pack_real ( real  value )
Packs a real value as 64 bits into the pack array.
virtual function void pack_string ( string  value )
Packs a string value into the pack array.
virtual function void pack_time ( time  value )
Packs a time value as 64 bits into the pack array.
The packing macros assist users who implement the uvm_object::do_pack method.
The comparator sends out pairs of transactions across this analysis port.
rand uvm_sequence_base parent
The sequence from which the operation originated.
uvm_sequence_base parent_sequence
Set this member in extensions of this class if the bus driver requires bus items be executed via a particular sequence base type.
uvm_path_e path
The path being used: UVM_FRONTDOOR or UVM_BACKDOOR.
virtual task peek( output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
output  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read the current value from a memory location
task peek( output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
output  uvm_reg_data_t  value,   
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Sample a memory location in the region.
virtual task peek( output  uvm_status_e  status,   
output  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read the current value from this register
virtual task peek ( output  uvm_status_e  status,   
output  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read the current value from this field
virtual task peek( output  T1  t )
Returns the current request item if one is in the sequencer fifo.
virtual task peek( output  T2  t )
Obtain a new transaction without consuming it.
virtual task peek( input longint  unsigned  idx,   
output  uvm_status_e  status,   
output  uvm_reg_data_t  value,   
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Sample the current value in a virtual register
virtual task peek( input longint  unsigned  idx,   
output  uvm_status_e  status,   
output  uvm_reg_data_t  value,   
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Sample the current value from a virtual field
virtual task peek_mem( input  uvm_mem  mem,   
output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
output  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Peeks the given memory mem using uvm_mem::peek, supplying ‘this’ as the parent argument.
virtual task peek_reg( input  uvm_reg  rg,   
output  uvm_status_e  status,   
output  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Peeks the given register rg using uvm_reg::peek, supplying ‘this’ as the parent argument.
virtual function void phase_ended ( uvm_phase  phase )
Invoked at the end of each phase.
virtual function void phase_ready_to_end ( uvm_phase  phase )
Invoked when all objections to ending the given phase and all sibling phases have been dropped, thus indicating that phase is ready to begin a clean exit.
virtual function void phase_started ( uvm_phase  phase )
Invoked at the start of each phase.
The API described here provides a general purpose testbench phasing solution, consisting of a phaser machine, traversing a master schedule graph, which is built by the integrator from one or more instances of template schedules provided by UVM or by 3rd-party VIP, and which supports implicit or explicit synchronization, runtime control of threads and jumps.
These methods implement an interface which allows all components to step through a standard schedule of phases, or a customized schedule, and also an API to allow independent phase domains which can jump like state machines to reflect behavior e.g.
UVM implements an automated mechanism for phasing the execution of the various components in a testbench.
bit physical = 1
This bit provides a filtering mechanism for fields.
bit physical = 1
This bit provides a filtering mechanism for fields.
bit physical = 1
This bit provides a filtering mechanism for fields.
virtual task poke( output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
input  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Deposit the specified value in a memory location
task poke( output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
input  uvm_reg_data_t  value,   
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Deposit in a memory location in the region.
virtual task poke( output  uvm_status_e  status,   
input  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Deposit the specified value in this register
virtual task poke ( output  uvm_status_e  status,   
input  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Deposit the specified value in this field
virtual task poke( input longint  unsigned  idx,   
output  uvm_status_e  status,   
input  uvm_reg_data_t  value,   
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Deposit the specified value in a virtual register
virtual task poke( input longint  unsigned  idx,   
output  uvm_status_e  status,   
input  uvm_reg_data_t  value,   
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Deposit the specified value in a virtual field
virtual task poke_mem( input  uvm_mem  mem,   
output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
input  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Pokes the given memory mem using uvm_mem::poke, supplying ‘this’ as the parent argument.
virtual task poke_reg( input  uvm_reg  rg,   
output  uvm_status_e  status,   
input  uvm_reg_data_t  value,   
input  string  kind  =  "",
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Pokes the given register rg using uvm_reg::poke, supplying ‘this’ as the parent argument.
uvm_recursion_policy_enum policy = UVM_DEFAULT_POLICY
Determines whether comparison is UVM_DEEP, UVM_REFERENCE, or UVM_SHALLOW.
Policy classes are used to implement polymorphic operations that differ between built-in types and class-based types.
This section defines the uvm_pool #(KEY, T) class and derivative.
virtual function T pop_back()
Returns the last element in the queue (index=size()-1), or null if the queue is empty.
virtual function T pop_front()
Returns the first element in the queue (index=0), or null if the queue is empty.
The UVM provides unidirectional ports, exports, and implementation ports for connecting your components via the TLM interfaces.
virtual task post_body()
This task is a user-definable callback task that is called after the execution of body only when the sequence is started with start.
The sequence is started and the uvm_sequence_base::post_body() task is being executed.
virtual task post_configure_phase( uvm_phase  phase )
The uvm_post_configure_phase phase implementation method.
virtual function void post_do( uvm_sequence_item  this_item )
This function is a user-definable callback function that is called after the driver has indicated that it has completed the item, using either this item_done or put methods.
virtual task post_main_phase( uvm_phase  phase )
The uvm_post_main_phase phase implementation method.
virtual function void post_predict( input  uvm_reg_field  fld,
input  uvm_reg_data_t  previous,
inout  uvm_reg_data_t  value,
input  uvm_predict_e  kind,
input  uvm_path_e  path,
input  uvm_reg_map  map )
Called by the uvm_reg_field::predict() method after a successful UVM_PREDICT_READ or UVM_PREDICT_WRITE prediction.
function void post_randomize()
Clean-up this class instance after randomization
virtual task post_read( uvm_reg_item  rw )
Called after memory read.
virtual task post_read( uvm_reg_item  rw )
Called after register read.
virtual task post_read( uvm_reg_item  rw )
Called after user-defined backdoor register read.
virtual task post_read( uvm_reg_item  rw )
Callback called after a read operation.
virtual task post_read ( uvm_reg_item  rw )
Called after field read.
virtual task post_read( longint  unsigned  idx,
ref  uvm_reg_data_t  rdat,
input  uvm_path_e  path,
input  uvm_reg_map  map,
ref  uvm_status_e  status )
Called after virtual register read.
virtual task post_read( uvm_vreg  rg,
longint  unsigned  idx,
ref  uvm_reg_data_t  rdat,
input  uvm_path_e  path,
input  uvm_reg_map  map,
ref  uvm_status_e  status )
Called after register read.
virtual task post_read( longint  unsigned  idx,
ref  uvm_reg_data_t  rdat,
uvm_path_e  path,
uvm_reg_map  map,
ref  uvm_status_e  status )
Called after virtual field read.
virtual task post_read( uvm_vreg_field  field,
longint  unsigned  idx,
ref  uvm_reg_data_t  rdat,
uvm_path_e  path,
uvm_reg_map  map,
ref  uvm_status_e  status )
Called after a virtual field read.
virtual task post_reset_phase( uvm_phase  phase )
The uvm_post_reset_phase phase implementation method.
virtual task post_shutdown_phase( uvm_phase  phase )
The uvm_post_shutdown_phase phase implementation method.
virtual task post_start()
This task is a user-definable callback that is called after the optional execution of post_body.
The sequence is started and the uvm_sequence_base::post_start() task is being executed.
virtual function void post_trigger ( uvm_event  e,   
uvm_object  data  =  null )
This callback is called after triggering the associated event.
virtual task post_write( uvm_reg_item  rw )
Called after memory write.
virtual task post_write( uvm_reg_item  rw )
Called after register write.
virtual task post_write( uvm_reg_item  rw )
Called after user-defined backdoor register write.
virtual task post_write( uvm_reg_item  rw )
Called after a write operation.
virtual task post_write ( uvm_reg_item  rw )
Called after field write.
virtual task post_write( longint  unsigned  idx,
uvm_reg_data_t  wdat,
uvm_path_e  path,
uvm_reg_map  map,
ref  uvm_status_e  status )
Called after virtual register write.
virtual task post_write( uvm_vreg  rg,
longint  unsigned  idx,
uvm_reg_data_t  wdat,
uvm_path_e  path,
uvm_reg_map  map,
ref  uvm_status_e  status )
Called after register write.
virtual task post_write( longint  unsigned  idx,
uvm_reg_data_t  wdat,
uvm_path_e  path,
uvm_reg_map  map,
ref  uvm_status_e  status )
Called after virtual field write
virtual task post_write( uvm_vreg_field  field,
longint  unsigned  idx,
uvm_reg_data_t  wdat,
uvm_path_e  path,
uvm_reg_map  map,
ref  uvm_status_e  status )
Called after a write operation
virtual function void pre_abort
This callback is executed when the message system is executing a UVM_EXIT action.
virtual task pre_body()
This task is a user-definable callback that is called before the execution of body only when the sequence is started with start.
The sequence is started and the uvm_sequence_base::pre_body() task is being executed.
virtual task pre_configure_phase( uvm_phase  phase )
The uvm_pre_configure_phase phase implementation method.
virtual task pre_do( bit  is_item )
This task is a user-definable callback task that is called on the parent sequence, if any.the sequence has issued a wait_for_grant() call and after the sequencer has selected this sequence, and before the item is randomized.
virtual task pre_main_phase( uvm_phase  phase )
The uvm_pre_main_phase phase implementation method.
virtual function void pre_predict( uvm_reg_item  rw )
Override this method to change the value or re-direct the target register
function void pre_randomize()
Prepare this class instance for randomization
virtual task pre_read( uvm_reg_item  rw )
Called before memory read.
virtual task pre_read( uvm_reg_item  rw )
Called before register read.
virtual task pre_read( uvm_reg_item  rw )
Called before user-defined backdoor register read.
virtual task pre_read( uvm_reg_item  rw )
Callback called before a read operation.
virtual task pre_read ( uvm_reg_item  rw )
Called before field read.
virtual task pre_read( uvm_reg_item  rw )
Special post-processing for a write() or update().
virtual task pre_read( uvm_reg_item  rw )
Produces an error message and sets status to UVM_NOT_OK.
virtual task pre_read( longint  unsigned  idx,
ref  uvm_path_e  path,
ref  uvm_reg_map  map )
Called before virtual register read.
virtual task pre_read( uvm_vreg  rg,
longint  unsigned  idx,
ref  uvm_path_e  path,
ref  uvm_reg_map  map )
Called before register read.
virtual task pre_read( longint  unsigned  idx,
ref  uvm_path_e  path,
ref  uvm_reg_map  map )
Called before virtual field read.
virtual task pre_read( uvm_vreg_field  field,
longint  unsigned  idx,
ref  uvm_path_e  path,
ref  uvm_reg_map  map )
Called before a virtual field read.
virtual task pre_reset_phase( uvm_phase  phase )
The uvm_pre_reset_phase phase implementation method.
virtual task pre_shutdown_phase( uvm_phase  phase )
The uvm_pre_shutdown_phase phase implementation method.
virtual task pre_start()
This task is a user-definable callback that is called before the optional execution of pre_body.
The sequence is started and the uvm_sequence_base::pre_start() task is being executed.
virtual function bit pre_trigger ( uvm_event  e,   
uvm_object  data  =  null )
This callback is called just before triggering the associated event.
virtual task pre_write( uvm_reg_item  rw )
Called before memory write.
virtual task pre_write( uvm_reg_item  rw )
Called before register write.
virtual task pre_write( uvm_reg_item  rw )
Called before user-defined backdoor register write.
virtual task pre_write( uvm_reg_item  rw )
Called before a write operation.
virtual task pre_write ( uvm_reg_item  rw )
Called before field write.
virtual task pre_write( uvm_reg_item  rw )
Special pre-processing for a write() or update().
virtual task pre_write( uvm_reg_item  rw )
Produces an error message and sets status to UVM_NOT_OK.
virtual task pre_write( longint  unsigned  idx,
ref  uvm_reg_data_t  wdat,
ref  uvm_path_e  path,
ref  uvm_reg_map  map )
Called before virtual register write.
virtual task pre_write( uvm_vreg  rg,
longint  unsigned  idx,
ref  uvm_reg_data_t  wdat,
ref  uvm_path_e  path,
ref  uvm_reg_map  map )
Callback called before a write operation.
virtual task pre_write( longint  unsigned  idx,
ref  uvm_reg_data_t  wdat,
ref  uvm_path_e  path,
ref  uvm_reg_map  map )
Called before virtual field write.
virtual task pre_write( uvm_vreg_field  field,
longint  unsigned  idx,
ref  uvm_reg_data_t  wdat,
ref  uvm_path_e  path,
ref  uvm_reg_map  map )
Callback called before a write operation.
int unsigned precedence
This variable is used to associate a precedence that a resource has with respect to other resources which match the same scope and name.
Components form the foundation of the UVM.
virtual function bit predict ( uvm_reg_data_t  value,   
uvm_reg_byte_en_t  be  =  -1,
uvm_predict_e  kind  =  UVM_PREDICT_DIRECT,
uvm_path_e  path  =  UVM_FRONTDOOR,
uvm_reg_map  map  =  null,
string  fname  =  "",
int  lineno  =  0 )
Update the mirrored value for this register.
function bit predict ( uvm_reg_data_t  value,   
uvm_reg_byte_en_t  be  =  -1,
uvm_predict_e  kind  =  UVM_PREDICT_DIRECT,
uvm_path_e  path  =  UVM_FRONTDOOR,
uvm_reg_map  map  =  null,
string  fname  =  "",
int  lineno  =  0 )
Update the mirrored value for this field.
string prefix = ""
Specifies the string prepended to each output line
function CB prev()
Returns the previous valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object.
virtual function int prev ( ref  KEY  key )
Returns the key of the previous item in the pool.
function void print ( int  all_types  =  1 )
Prints the state of the uvm_factory, including registered types, instance overrides, and type overrides.
function void print ( uvm_printer  printer  =  null )
The print method deep-prints this object’s properties in a format and manner governed by the given printer argument; if the printer argument is not provided, the global uvm_default_printer is used.
virtual function void print_accessors()
Dump the access records for this resource
virtual function void print_array_footer ( int  size  =  )
Prints the header of a footer.
virtual function void print_array_header( string  name,   
int  size,   
string  arraytype  =  "array",
byte  scope_separator  =  "." )
Prints the header of an array.
virtual function void print_array_range ( int  min,
int  max )
Prints a range using ellipses for values.
static function void print_catcher( UVM_FILE  file  =  )
Prints information about all of the report catchers that are registered.
function void print_config( bit  recurse  =  0,
bit  audit  =  0 )
Print_config_settings prints all configuration information for this component, as set by previous calls to set_config_* and exports to the resources pool.
static bit print_config_matches
Setting this static variable causes get_config_* to print info about matching configuration settings as they are being applied.
function void print_config_settings ( string  field  =  "",
uvm_component  comp  =  null,
bit  recurse  =  0 )
Called without arguments, print_config_settings prints all configuration information for this component, as set by previous calls to set_config_*.
function void print_config_with_audit( bit  recurse  =  0 )
Operates the same as print_config except that the audit bit is forced to 1.
bit print_enabled = 1
This bit determines if this component should automatically be printed as a child of its parent object.
virtual function void print_generic ( string  name,   
string  type_name,   
int  size,   
string  value,   
byte  scope_separator  =  "." )
Prints a field having the given name, type_name, size, and value.
virtual function void print_int ( string  name,   
uvm_bitstream_t  value,   
int  size,   
uvm_radix_enum  radix  =  UVM_NORADIX,
byte  scope_separator  =  ".",
string  type_name  =  "" )
Prints an integral field.
function void print_msg ( string  msg )
Causes the error count to be incremented and the message, msg, to be appended to the miscompares string (a newline is used to separate messages).
virtual function void print_object ( string  name,   
uvm_object  value,   
byte  scope_separator  =  "." )
Prints an object.
function void print_override_info( string  requested_type_name,   
string  name  =  "" )
This factory debug method performs the same lookup process as create_object and create_component, but instead of creating an object, it prints information about what type of object would be created given the provided arguments.
function void print_resources( uvm_resource_types:: rsrc_q_t  rq,   
bit  audit  =  0 )
Print the resources that are in a single queue, rq.
virtual function void print_string ( string  name,   
string  value,   
byte  scope_separator  =  "." )
Prints a string field.
virtual function void print_time ( string  name,   
time  value,   
byte  scope_separator  =  "." )
Prints a time value.
function void print_topology ( uvm_printer  printer  =  null )
Print the verification environment’s component topology.
int prior = -1
The priority requested of this transfer, as defined by uvm_sequence_base::start_item.
Functions for manipulating the search priority of resources.
Functions for manipulating the search priority of resources.
virtual function void process_report( uvm_severity  severity,
string  name,
string  id,
string  message,
uvm_action  action,
UVM_FILE  file,
string  filename,
int  line,
string  composed_message,
int  verbosity_level,
uvm_report_object  client )
Calls compose_message to construct the actual message to be output.
bit provides_responses
Set this bit in extensions of this class if the bus driver provides separate response items.
virtual function void push_back( item )
Inserts the given item at the back of the queue.
virtual function void push_front( item )
Inserts the given item at the front of the queue.
virtual task put( input  T2  t )
Sends a response back to the sequence that issued the request.
virtual task put( input  T1  t )
Sends a user-defined transaction of type T.
The put interfaces are used to send, or put, transactions to other components.
Transactions passed via put or try_put (via any port connected to the put_export) are sent out this port via its write method.
The put_export provides both the blocking and non-blocking put interface methods to any attached port:
The put_export provides both the blocking and non-blocking put interface methods to the request FIFO:
The put_export provides both the blocking and non-blocking put interface methods to the response FIFO:
virtual function void raise_objection ( uvm_object  obj  =  null,
string  description  =  "",
int  count  =  1 )
Raises the number of objections for the source object by count, which defaults to 1.
virtual function void raise_objection ( uvm_object  obj,   
string  description  =  "",
int  count  =  1 )
Raise an objection to ending this phase Provides components with greater control over the phase flow for processes which are not implicit objectors to the phase.
virtual function void raised ( uvm_object  obj,
uvm_object  source_obj,
string  description,
int  count )
Executes the uvm_objection_callback::raised method in the user callback class whenever this objection is raised at the object obj.
virtual function void raised ( uvm_objection  objection,
uvm_object  source_obj,
string  description,
int  count )
The raised callback is called when this or a descendant of this component instance raises the specfied objection.
virtual function void raised ( uvm_object  obj,
uvm_object  source_obj,
string  description,
int  count )
Objection callback that is called when a raise_objection has reached obj.
virtual function void raised ( uvm_objection  objection,
uvm_object  obj,
uvm_object  source_obj,
string  description,
int  count )
Objection raised callback function.
virtual task read( output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
output  uvm_reg_data_t  value,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read the current value from a memory location
task read( output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
output  uvm_reg_data_t  value,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read from a memory location in the region.
virtual task read( output  uvm_status_e  status,   
output  uvm_reg_data_t  value,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read the current value from this register
virtual task read( uvm_reg_item  rw )
User-defined backdoor read operation.
virtual task read ( output  uvm_status_e  status,   
output  uvm_reg_data_t  value,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read the current value from this field
Reads the next value out of the DUT FIFO.
function T read( uvm_object  accessor  =  null )
Return the object stored in the resource container.
virtual task read( input longint  unsigned  idx,   
output  uvm_status_e  status,   
output  uvm_reg_data_t  value,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read the current value from a virtual register
virtual task read( input longint  unsigned  idx,   
output  uvm_status_e  status,   
output  uvm_reg_data_t  value,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read the current value from a virtual field
read and write provide a type-safe interface for getting and setting the object in the resource container.
static function bit read_by_name( input  string  scope,   
input  string  name,   
inout  val,   
input  uvm_object  accessor  =  null )
locate a resource by name and scope and read its value.
static function bit read_by_type( input  string  scope,   
inout  val,   
input  uvm_object  accessor  =  null )
Read a value by type.
virtual function void read_func( uvm_reg_item  rw )
User-defined backdoor read operation.
virtual task read_mem( input  uvm_mem  mem,   
output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
output  uvm_reg_data_t  value,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Reads the given memory mem using uvm_mem::read, supplying ‘this’ as the parent argument.
virtual task read_mem_by_name( output  uvm_status_e  status,   
input  string  name,   
input  uvm_reg_addr_t  offset,   
output  uvm_reg_data_t  data,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read the named memory
virtual task read_reg( input  uvm_reg  rg,   
output  uvm_status_e  status,   
output  uvm_reg_data_t  value,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Reads the given register rg using uvm_reg::read, supplying ‘this’ as the parent argument.
virtual task read_reg_by_name( output  uvm_status_e  status,   
input  string  name,   
output  uvm_reg_data_t  data,   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read the named register
function uvm_mem_mam_cfg reconfigure( uvm_mem_mam_cfg  cfg  =  null )
Reconfigure the manager
function void record ( uvm_recorder  recorder  =  null )
The record method deep-records this object’s properties according to an optional recorder policy.
function integer record_error_tr ( string  stream_name  =  "main",
uvm_object  info  =  null,
string  label  =  "error_tr",
string  desc  =  "",
time  error_time  =  0,
bit  keep_active  =  0 )
This function marks an error transaction by a component.
function integer record_event_tr ( string  stream_name  =  "main",
uvm_object  info  =  null,
string  label  =  "event_tr",
string  desc  =  "",
time  event_time  =  0,
bit  keep_active  =  0 )
This function marks an event transaction by a component.
virtual function void record_field ( string  name,   
uvm_bitstream_t  value,   
int  size,   
uvm_radix_enum  radix  =  UVM_NORADIX )
Records an integral field (less than or equal to 4096 bits).
virtual function void record_field_real ( string  name,
real  value )
Records an real field.
virtual function void record_generic ( string  name,
string  value )
Records the name-value pair, where value has been converted to a string.
virtual function void record_object ( string  name,
uvm_object  value )
Records an object field.
function void record_read_access( uvm_object  accessor  =  null )
virtual function void record_string ( string  name,
string  value )
Records a string field.
virtual function void record_time ( string  name,
time  value )
Records a time value.
function void record_write_access( uvm_object  accessor  =  null )
uvm_recorder recorder
Specifies the uvm_recorder object to use for begin_tr and other methods in the Recording Interface.
These methods comprise the component-based transaction recording interface.
The recording macros assist users who implement the uvm_object::do_record method.
uvm_recursion_policy_enum policy = UVM_DEFAULT_POLICY
Sets the recursion policy for recording objects.
bit reference = 1
Controls whether to print a unique reference ID for object handles.
uvm_analysis_port #( uvm_reg_item ) reg_ap
Analysis output port that publishes uvm_reg_item transactions converted from bus transactions received on bus_in.
protected uvm_reg_single_access_seq reg_seq
The sequence used to test one register
protected uvm_reg_single_bit_bash_seq reg_seq
The sequence used to test one register
protected uvm_reg_shared_access_seq reg_seq
The sequence used to test one register
uvm_sequencer #( uvm_reg_item ) reg_seqr
Layered upstream “register” sequencer.
pure virtual function uvm_sequence_item reg2bus( const ref  uvm_reg_bus_op  rw )
Extensions of this class must implement this method to convert the specified uvm_reg_bus_op to a corresponding uvm_sequence_item subtype that defines the bus transaction.
virtual function uvm_sequence_item reg2bus( const ref  uvm_reg_bus_op  rw )
Converts a uvm_reg_bus_op struct to a uvm_tlm_gp item.
function void register ( uvm_object_wrapper  obj )
Registers the given proxy object, obj, with the factory.
This section defines sequences that test DUT register access via the available frontdoor and backdoor paths defined in the provided register model.
This section defines the base class used for all register callback extensions.
The UVM register layer defines several base classes that, when properly extended, abstract the read/write operations to registers and memories in a design-under-verification.
This section defines the base classes used for register stimulus generation.
function void release_all_regions()
Forcibly release all allocated memory regions.
function void release_region( uvm_mem_region  region )
Release the specified region
function void release_region()
Release this region
virtual function void release_region()
Dynamically un-implement a virtual register array
function void remove ( uvm_component  comp )
Remove a single component to the set of components being monitored.
static function void remove( uvm_reg  rg )
Remove this callback from the specified register and its contained fields.
static function void remove( uvm_reg  rg )
Remove this callback from the specified register and its contained fields.
virtual function void report( uvm_severity  severity,   
string  name,   
string  id,   
string  message,   
int  verbosity_level  =  UVM_MEDIUM,
string  filename  =  "",
int  line  =  0,
uvm_report_object  client  =  null )
This is the common handler method used by the four core reporting methods (e.g., uvm_report_error) in uvm_report_object.
This set of macros provides wrappers around the uvm_report_* Reporting functions.
virtual function bit report_error_hook( string  id,
string  message,
int  verbosity,
string  filename,
int  line )
virtual function bit report_fatal_hook( string  id,
string  message,
int  verbosity,
string  filename,
int  line )
virtual function void report_header( UVM_FILE  file  =  0 )
Prints version and copyright information.
virtual function bit report_hook( string  id,
string  message,
int  verbosity,
string  filename,
int  line )
These hook methods can be defined in derived classes to perform additional actions when reports are issued.
virtual function bit report_info_hook( string  id,
string  message,
int  verbosity,
string  filename,
int  line )
virtual function void report_phase( uvm_phase  phase )
The uvm_report_phase phase implementation method.
virtual function void report_summarize( UVM_FILE  file  =  0 )
Outputs statistical information on the reports issued by the central report server.
virtual function bit report_warning_hook( string  id,
string  message,
int  verbosity,
string  filename,
int  line )
The reporting classes provide a facility for issuing reports with consistent formatting.
Sequence items and sequences will use the sequencer which they are associated with for reporting messages.
This export provides the blocking put interface whose default implementation produces an error.
The push sequencer requires access to a blocking put interface.
Transactions passed via put or try_put (via any port connected to the put_request_export) are sent out this port via its write method.
function uvm_mem_region request_region( int  unsigned  n_bytes,   
uvm_mem_mam_policy  alloc  =  null,
string  fname  =  "",
int  lineno  =  0 )
Request and reserve a memory region
function void reseed ()
Calls srandom on the object to reseed the object using the UVM seeding mechanism, which sets the seed based on type name and instance name instead of based on instance position in a thread.
function uvm_mem_region reserve_region( bit  [63:0]  start_offset,   
int  unsigned  n_bytes,   
string  fname  =  "",
int  lineno  =  0 )
Reserve a specific memory region
virtual function void reset ( bit  wakeup  =  1 )
Resets the barrier.
virtual function void reset ( bit  wakeup  =  )
Resets the event to its off state.
virtual function void reset( string  kind  =  "HARD" )
Reset the desired/mirrored value for this register.
virtual function void reset( string  kind  =  "HARD" )
Reset the mirror for this block.
virtual function void reset( string  kind  =  "HARD" )
Reset the desired/mirrored value for this field.
virtual function void reset( string  kind  =  "SOFT" )
Reset the mirror for all registers in this address map.
function void reset()
Reset the value to 0
function void reset( string  kind  =  "HARD" )
Reset the access semaphore
virtual task reset_blk( uvm_reg_block  blk )
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk( uvm_reg_block  blk )
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk( uvm_reg_block  blk )
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk( uvm_reg_block  blk )
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk( uvm_reg_block  blk )
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk( uvm_reg_block  blk )
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_phase( uvm_phase  phase )
The uvm_reset_phase phase implementation method.
function void reset_quit_count()
Set, get, increment, or reset to 0 the quit count, i.e., the number of COUNT actions issued.
function void reset_report_handler
Resets the underlying report handler to its default settings.
function void reset_severity_counts()
Set, get, or increment the counter for the given severity, or reset all severity counters to 0.
virtual function void resolve_bindings ()
Processes all port, export, and imp connections.
virtual function void resolve_bindings()
This callback is called just before entering the end_of_elaboration phase.
Transactions passed via put or try_put (via any port connected to the put_response_export) are sent out this port via its write method.
virtual function void response_handler( uvm_sequence_item  response )
When the use_reponse_handler bit is set to 1, this virtual task is called by the sequencer for each response that arrives for this sequence.
int unsigned result = 0
This bit stores the number of miscompares for a given compare operation.
virtual task resume ()
Resume this component.
uvm_reg rg
The register to be tested
uvm_reg rg
The register to be tested
uvm_reg rg
The register to be tested
Drivers or monitors can connect to this port to send responses to the sequencer.
This port provides an alternate way of sending responses back to the originating sequencer.
This analysis port is used to send response transactions back to the originating sequencer.
virtual function bit run_hooks( uvm_report_object  client,
uvm_severity  severity,
string  id,
string  message,
int  verbosity,
string  filename,
int  line )
The run_hooks method is called if the UVM_CALL_HOOK action is set for a report.
virtual task run_phase( uvm_phase  phase )
The uvm_run_phase phase implementation method.
task run_phase( uvm_phase  phase )
The push sequencer continuously selects from its list of available sequences and sends the next item from the selected sequence out its req_port using req_port.put(item).
task run_test ( string  test_name  =  "" )
Convenience function for uvm_top.run_test().
virtual task run_test ( string  test_name  =  "" )
Phases all components through all registered phases.
uvm_reg_item rw_info
Holds information about the register being read or written