Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
S
 sample
 sample_values
 Schedule
 Scope Interface
 Seeding
 send_request
 separator
 SEQ_ARB_FIFO
 SEQ_ARB_RANDOM
 SEQ_ARB_STRICT_FIFO
 SEQ_ARB_STRICT_RANDOM
 SEQ_ARB_USER
 SEQ_ARB_WEIGHTED
 seq_item_export
 seq_item_port
 Sequence Action Macros
 Sequence Action Macros for Pre-Existing Sequences
 Sequence Classes
 Sequence Control
 Sequence Execution
 Sequence Item Execution
 Sequence Item Pull Ports
 Sequence on Sequencer Action Macros
 Sequence-Related Macros
 sequencer
 Sequencer Classes
 Sequencer Port
 Sequencer Subtypes
 Sequences
 set
 Set
 set priority
 Set Priority
 Set/ Get Interface
 set_abstime
 set_access
 set_action
 set_address
 set_anonymous
 set_arbitration
 set_auto_predict
 set_auto_reset
 set_backdoor
 set_base_addr
 set_byte_enable
 set_byte_enable_length
 set_check_on_read
 set_command
 set_compare
 set_config_int
 set_config_object
 set_config_string
 set_coverage
 set_data
 set_data_length
 set_default
 set_default_hdl_path
 set_default_index
 set_default_map
 set_depth
 set_dmi_allowed
 set_domain
 set_drain_time
 set_extension
 set_frontdoor
 set_hdl_path_root
 set_heartbeat
 set_id
 set_id_count
 set_id_info
 set_initiator
 set_inst_override
 set_inst_override_by_name
 set_inst_override_by_type
 set_int_local
 set_item_context
 set_max_quit_count
 set_message
 set_mode
 set_name
 set_name_override
 set_num_last_reqs
 set_num_last_rsps
 set_object_local
 set_offset
 set_override
 set_parent_sequence
 set_phase_imp
 set_priority
 set_priority_name
 set_priority_type
 set_quit_count
 set_read
 set_read_only
 set_report_default_file
 set_report_default_file_hier
 set_report_handler
 set_report_id_action
 set_report_id_action_hier
 set_report_id_file
 set_report_id_file_hier
 set_report_id_verbosity
 set_report_id_verbosity_hier
 set_report_max_quit_count
 set_report_severity_action
 set_report_severity_action_hier
 set_report_severity_file
 set_report_severity_file_hier
 set_report_severity_id_action
 set_report_severity_id_action_hier
 set_report_severity_id_file
 set_report_severity_id_file_hier
 set_report_severity_id_override
 set_report_severity_id_verbosity
 set_report_severity_id_verbosity_hier
 set_report_severity_override
 set_report_verbosity_level
 set_report_verbosity_level_hier
 set_reset
 set_response_queue_depth
 set_response_queue_error_report_disabled
 set_response_status
 set_scope
 set_sequencer
 set_server
 set_severity
 set_severity_count
 set_streaming_width
 set_string_local
 set_submap_offset
 set_threshold
 set_time_resolution
 set_timeout
 set_transaction_id
 set_type_override
 set_type_override_by_name
 set_type_override_by_type
 set_use_sequence_info
 set_verbosity
 set_volatility
 set_write
 Setup
 sev
 Shared Register and Memory Access Test Sequences
 Should
 show_max
 show_radix
 show_root
 shutdown_phase
 Simulation Control
 Singleton
 size
 slave_export
 slices
 sort_by_precedence
 Special Overrides
 spell_check
 sprint
 start
 start_item
 start_of_simulation_phase
 start_offset
 start_phase_sequence
 starting_phase
 State
 status
 stop
 stop_sequences
 stop_stimulus_generation
 STOPPED
 summarize
 summarize_report_catcher
 supports_byte_enable
 suspend
 sync
 sync and unsync
 Synchronization
 Synchronization Classes
T
 T
 T1 first
 T2 second
 tests
 TLM Channel Classes
 TLM Export Classes
 TLM FIFO Classes
 TLM Generic Payload&Extensions
 TLM IF Class
 TLM Implementation Port Declaration Macros
 tlm interfaces
 TLM Interfaces
 TLM Port Classes
 TLM Socket Base Classes
 TLM Sockets
 tlm transport methods
 TLM1
 TLM1 Interfaces,Ports,Exports and Transport Interfaces
 TLM2
 TLM2 Export Classes
 TLM2 imps(interface implementations)
 TLM2 Interfaces,Ports,Exports and Transport Interfaces Subset
 TLM2 ports
 Tool information
 top_levels
 tr_handle
 trace_mode
 transport
 Transport
 transport_export
 traverse
 trigger
 try_get
 try_next_item
 try_peek
 try_put
 turn_off_auditing
 turn_off_tracing
 turn_on_auditing
 turn_on_tracing
 Type Interface
 Type&Instance Overrides
 type_name
 Typedefs
 Types
 Types and Enumerations
protected virtual function void sample( uvm_reg_addr_t  offset,
bit  is_read,
uvm_reg_map  map )
Functional coverage measurement method
protected virtual function void sample( uvm_reg_data_t  data,
uvm_reg_data_t  byte_en,
bit  is_read,
uvm_reg_map  map )
Functional coverage measurement method
protected virtual function void sample( uvm_reg_addr_t  offset,
bit  is_read,
uvm_reg_map  map )
Functional coverage measurement method
virtual function void sample_values()
Functional coverage measurement method for field values
virtual function void sample_values()
Functional coverage measurement method for field values
Each resource has a name, a value and a set of scopes over which it is visible.
function void send_request( uvm_sequence_item  request,   
bit  rerandomize  =  0 )
This method will send the request item to the sequencer, which will forward it to the driver.
virtual function void send_request( uvm_sequence_item  request,   
bit  rerandomize  =  0 )
The send_request function may only be called after a wait_for_grant call.
virtual function void send_request( uvm_sequence_base  sequence_ptr,   
uvm_sequence_item  t,   
bit  rerandomize  =  0 )
Derived classes implement this function to send a request item to the sequencer, which will forward it to the driver.
virtual function void send_request( uvm_sequence_base  sequence_ptr,   
uvm_sequence_item  t,   
bit  rerandomize  =  0 )
The send_request function may only be called after a wait_for_grant call.
string separator = "{}"
For tree printers only, determines the opening and closing separators used for nested objects.
Requests are granted in FIFO order (default)
Requests are granted randomly
Requests at highest priority granted in fifo order
Requests at highest priority granted in randomly
Arbitration is delegated to the user-defined function, user_priority_arbitration.
Requests are granted randomly by weight
uvm_seq_item_pull_imp #( REQ,
RSP,
this_type ) seq_item_export
This export provides access to this sequencer’s implementation of the sequencer interface, uvm_sqr_if_base #(REQ,RSP), which defines the following methods:
Derived driver classes should use this port to request items from the sequencer.
These macros are used to start sequences and sequence items on the default sequencer, m_sequencer.
These macros are used to start sequences and sequence items that do not need to be created.
Sequences encapsulate user-defined procedures that generate multiple uvm_sequence_item-based transactions.
This section defines the port, export, and imp port classes for communicating sequence items between uvm_sequencer #(REQ,RSP) and uvm_driver #(REQ,RSP).
These macros are used to start sequences and sequence items on a specific sequencer.
uvm_sequencer_base sequencer
Sequencer executing the operation
The sequencer serves as an arbiter for controlling transaction flow from multiple stimulus generators.
A push or pull port, with well-defined completion semantics.
static function void set( uvm_component  cntxt,
string  inst_name,
string  field_name,
value )
Create a new or update an existing configuration setting for field_name in inst_name from cntxt.
function void set( uvm_hdl_path_slice  t[] )
Initialize the concatenation using an array literal
virtual function void set ( uvm_reg_data_t  value,   
string  fname  =  "",
int  lineno  =  0 )
Set the desired value for this register
virtual function void set( uvm_reg_data_t  value,   
string  fname  =  "",
int  lineno  =  0 )
Set the desired value for this field
virtual function void set( uvm_reg_data_t  value,   
string  fname  =  "",
int  lineno  =  0 )
Pushes the given value to the abstract FIFO.
function void set()
Simply put this resource into the global resource pool
static function void set( input  string  scope,   
input  string  name,   
val,   
input  uvm_object  accessor  =  null )
Create a new resource, write a val to it, and set it into the database using name and scope as the lookup parameters.
function void set ( uvm_resource_base  rsrc,   
uvm_resource_types:: override_t  override  =  0 )
Add a new resource to the resource pool.
Change the search priority of the resource based on the value of the priority enum argument, pri.
Change the search priority of the resource based on the value of the priority enum argument.
Functions for altering the search priority of resources.
uvm_resource#(T) provides an interface for setting and getting a resources.
function void set_abstime( real  t,
real  secs )
Set the current canonical time value, to the number of specified time unit, reguardless of the current timescale of the caller.
virtual function string set_access( string  mode )
Modify the access policy of the field
protected function void set_action( uvm_action  action )
Change the action of the message to action.
virtual function void set_address( bit  [63:0]  addr )
Set the value of the m_address variable
static function void set_anonymous( input  string  scope,   
val,   
input  uvm_object  accessor  =  null )
Create a new resource, write a val to it, and set it into the database.
function void set_arbitration( SEQ_ARB_TYPE  val )
Specifies the arbitration mode for the sequencer.
function void set_auto_predict( bit  on  =  1 )
Sets the auto-predict mode for his map.
virtual function void set_auto_reset ( bit  value  =  1 )
Determines if the barrier should reset itself after the threshold is reached.
function void set_backdoor ( uvm_reg_backdoor  bkdr,   
string  fname  =  "",
int  lineno  =  0 )
Set a user-defined backdoor for this memory
function void set_backdoor( uvm_reg_backdoor  bkdr,   
string  fname  =  "",
int  lineno  =  0 )
Set a user-defined backdoor for this register
function void set_backdoor ( uvm_reg_backdoor  bkdr,   
string  fname  =  "",
int  lineno  =  0 )
Set the user-defined backdoor for all registers in this block
virtual function void set_base_addr ( uvm_reg_addr_t  offset )
Set the base address of this map.
virtual function void set_byte_enable( ref byte  unsigned  p[] )
Set the value of the m_byte_enable array
virtual function void set_byte_enable_length( int  unsigned  length )
Set the size m_byte_enable_length of the m_byte_enable array i.e m_byte_enable.size()
function void set_check_on_read( bit  on  =  1 )
Sets the check-on-read mode for his map and all of its submaps.
virtual function void set_command( uvm_tlm_command_e  command )
Set the value of the m_command variable
function void set_compare( uvm_check_e  check  =  UVM_CHECK )
Sets the compare policy during a mirror update.
function void set_compare( uvm_check_e  check  =  UVM_CHECK )
Sets the compare policy during a mirror (read) of the DUT FIFO.
function void set_config_int ( string  inst_name,
string  field_name,
uvm_bitstream_t  value )
This is the global version of set_config_int in uvm_component.
virtual function void set_config_int ( string  inst_name,
string  field_name,
uvm_bitstream_t  value )
function void set_config_object ( string  inst_name,   
string  field_name,   
uvm_object  value,   
bit  clone  =  1 )
This is the global version of set_config_object in uvm_component.
virtual function void set_config_object ( string  inst_name,   
string  field_name,   
uvm_object  value,   
bit  clone  =  1 )
Calling set_config_* causes configuration settings to be created and placed in a table internal to this component.
function void set_config_string ( string  inst_name,
string  field_name,
string  value )
This is the global version of set_config_string in uvm_component.
virtual function void set_config_string ( string  inst_name,
string  field_name,
string  value )
virtual function uvm_reg_cvr_t set_coverage( uvm_reg_cvr_t  is_on )
Turns on coverage measurement.
virtual function uvm_reg_cvr_t set_coverage( uvm_reg_cvr_t  is_on )
Turns on coverage measurement.
virtual function uvm_reg_cvr_t set_coverage( uvm_reg_cvr_t  is_on )
Turns on coverage measurement.
virtual function void set_data( ref byte unsigned  [] )
Set the value of the m_data array
virtual function void set_data_length( int  unsigned  length )
Set the value of the m_length
static function rsrc_t set_default( string  scope,
string  name )
add a new item into the resources database.
function void set_default_hdl_path ( string  kind )
Set the default design abstraction
function void set_default_hdl_path ( string  kind )
Set the default design abstraction
function void set_default_index ( int  index )
Sets the default implementation port to use when calling an interface method.
function void set_default_map ( uvm_reg_map  map )
Defines the default address map
function void set_depth( int  value )
The depth of any sequence is calculated automatically.
virtual function void set_dmi_allowed( bit  dmi )
DMI hint.
function void set_domain( uvm_domain  domain,   
int  hier  =  1 )
Apply a phase domain to this component and, if hier is set, recursively to all its children.
Sets the drain time on the given object to drain.
function uvm_tlm_extension_base set_extension( uvm_tlm_extension_base  ext )
Add an instance-specific extension.
function void set_frontdoor( uvm_reg_frontdoor  ftdr,   
uvm_reg_map  map  =  null,
string  fname  =  "",
int  lineno  =  0 )
Set a user-defined frontdoor for this memory
function void set_frontdoor( uvm_reg_frontdoor  ftdr,   
uvm_reg_map  map  =  null,
string  fname  =  "",
int  lineno  =  0 )
Set a user-defined frontdoor for this register
function void set_hdl_path_root ( string  path,   
string  kind  =  "RTL" )
Specify a root HDL path
function void set_heartbeat ( uvm_event  e,
ref  uvm_component  comps[$] )
Sets up the heartbeat event and assigns a list of objects to watch.
protected function void set_id( string  id )
Change the id of the message to id.
function void set_id_count( string  id,
int  count )
function void set_id_info( uvm_sequence_item  item )
Copies the sequence_id and transaction_id from the referenced item into the calling item.
function void set_initiator ( uvm_component  initiator )
Sets initiator as the initiator of this transaction.
function void set_inst_override( string  relative_inst_path,
string  original_type_name,
string  override_type_name )
A convenience function for uvm_factory::set_inst_override_by_name, this method registers a factory override for components created at this level of hierarchy or below.
static function void set_inst_override( uvm_object_wrapper  override_type,   
string  inst_path,   
uvm_component  parent  =  null )
Configures the factory to create a component of the type represented by override_type whenever a request is made to create an object of the type, T, represented by this proxy, with matching instance paths.
static function void set_inst_override( uvm_object_wrapper  override_type,   
string  inst_path,   
uvm_component  parent  =  null )
Configures the factory to create an object of the type represented by override_type whenever a request is made to create an object of the type represented by this proxy, with matching instance paths.
function void set_inst_override_by_name ( string  original_type_name,
string  override_type_name,
string  full_inst_path )
Configures the factory to create an object of the override’s type whenever a request is made to create an object of the original type using a context that matches full_inst_path.
function void set_inst_override_by_type( string  relative_inst_path,
uvm_object_wrapper  original_type,
uvm_object_wrapper  override_type )
A convenience function for uvm_factory::set_inst_override_by_type, this method registers a factory override for components and objects created at this level of hierarchy or below.
function void set_inst_override_by_type ( uvm_object_wrapper  original_type,
uvm_object_wrapper  override_type,
string  full_inst_path )
virtual function void set_int_local ( string  field_name,   
uvm_bitstream_t  value,   
bit  recurse  =  1 )
function void set_item_context( uvm_sequence_base  parent_seq,   
uvm_sequencer_base  sequencer  =  null )
Set the sequence and sequencer execution context for a sequence item
function void set_max_quit_count( int  count,   
bit  overridable  =  1 )
protected function void set_message( string  message )
Change the text of the message to message.
function uvm_heartbeat_modes set_mode (
    uvm_heartbeat_modes  mode  =  UVM_NO_HB_MODE
)
Sets or retrieves the heartbeat mode.
virtual function void set_name ( string  name )
Sets the instance name of this object, overwriting any previously given name.
function void set_name_override( uvm_resource_base  rsrc )
The resource provided as an argument will entered into the pool using normal precedence in the type map and will override the name.
function void set_num_last_reqs( int  unsigned  max )
Sets the size of the last_requests buffer.
function void set_num_last_rsps( int  unsigned  max )
Sets the size of the last_responses buffer.
virtual function void set_object_local ( string  field_name,   
uvm_object  value,   
bit  clone  =  1,
bit  recurse  =  1 )
These methods provide write access to integral, string, and uvm_object-based properties indexed by a field_name string.
Modify the offset of the memory
virtual function void set_offset ( uvm_reg_map  map,   
uvm_reg_addr_t  offset,   
bit  unmapped  =  0 )
Modify the offset of the register
function void set_override(
Put a resource into the global resource pool as an override.
function void set_override( uvm_resource_base  rsrc )
The resource provided as an argument will be entered into the pool and will override both by name and type.
function void set_parent_sequence( uvm_sequence_base  parent )
Sets the parent sequence of this sequence_item.
function void set_phase_imp( uvm_phase  phase,   
uvm_phase  imp,   
int  hier  =  1 )
Override the default implementation for a phase on this component (tree) with a custom one, which must be created as a singleton object extending the default one and implementing required behavior in exec and traverse methods
function void set_priority ( uvm_resource_base  rsrc,
uvm_resource_types:: priority_e  pri )
Change the search priority of the rsrc based on the value of pri, the priority enum argument.
function void set_priority ( int  value )
The priority of a sequence may be changed at any point in time.
function void set_priority_name( uvm_resource_base  rsrc,
uvm_resource_types:: priority_e  pri )
Change the priority of the rsrc based on the value of pri, the priority enum argument.
function void set_priority_type( uvm_resource_base  rsrc,
uvm_resource_types:: priority_e  pri )
Change the priority of the rsrc based on the value of pri, the priority enum argument.
function void set_quit_count( int  quit_count )
virtual function void set_read()
Set the current value of the m_command variable to UVM_TLM_READ_COMMAND.
function void set_read_only()
Establishes this resource as a read-only resource.
function void set_report_default_file ( UVM_FILE  file )
function void set_report_default_file_hier ( UVM_FILE  file )
function void set_report_handler( uvm_report_handler  handler )
Sets the report handler, overwriting the default instance.
function void set_report_id_action ( string  id,
uvm_action  action )
function void set_report_id_action_hier ( string  id,
uvm_action  action )
function void set_report_id_file ( string  id,
UVM_FILE  file )
function void set_report_id_file_hier ( string  id,
UVM_FILE  file )
function void set_report_id_verbosity ( string  id,
int  verbosity )
function void set_report_id_verbosity_hier ( string  id,
int  verbosity )
function void set_report_max_quit_count( int  max_count )
Sets the maximum quit count in the report handler to max_count.
function void set_report_severity_action ( uvm_severity  severity,
uvm_action  action )
function void set_report_severity_action_hier ( uvm_severity  severity,
uvm_action  action )
function void set_report_severity_file ( uvm_severity  severity,
UVM_FILE  file )
function void set_report_severity_file_hier ( uvm_severity  severity,
UVM_FILE  file )
function void set_report_severity_id_action ( uvm_severity  severity,
string  id,
uvm_action  action )
These methods associate the specified action or actions with reports of the given severity, id, or severity-id pair.
function void set_report_severity_id_action_hier( uvm_severity  severity,
string  id,
uvm_action  action )
These methods recursively associate the specified action with reports of the given severity, id, or severity-id pair.
function void set_report_severity_id_file ( uvm_severity  severity,
string  id,
UVM_FILE  file )
These methods configure the report handler to direct some or all of its output to the given file descriptor.
function void set_report_severity_id_file_hier( uvm_severity  severity,
string  id,
UVM_FILE  file )
These methods recursively associate the specified FILE descriptor with reports of the given severity, id, or severity-id pair.
function void set_report_severity_id_override( uvm_severity  cur_severity,
string  id,
uvm_severity  new_severity )
These methods provide the ability to upgrade or downgrade a message in terms of severity given severity and id.
function void set_report_severity_id_verbosity ( uvm_severity  severity,
string  id,
int  verbosity )
These methods associate the specified verbosity with reports of the given severity, id, or severity-id pair.
function void set_report_severity_id_verbosity_hier( uvm_severity  severity,
string  id,
int  verbosity )
These methods recursively associate the specified verbosity with reports of the given severity, id, or severity-id pair.
function void set_report_severity_override( uvm_severity  cur_severity,
uvm_severity  new_severity )
function void set_report_verbosity_level ( int  verbosity_level )
This method sets the maximum verbosity level for reports for this component.
function void set_report_verbosity_level_hier ( int  verbosity )
This method recursively sets the maximum verbosity level for reports for this component and all those below it.
virtual function void set_reset( uvm_reg_data_t  value,   
string  kind  =  "HARD" )
Specify or modify the reset value for this register
virtual function void set_reset( uvm_reg_data_t  value,   
string  kind  =  "HARD" )
Specify or modify the reset value for this field
function void set_response_queue_depth( int  value )
The default maximum depth of the response queue is 8.
function void set_response_queue_error_report_disabled( bit  value )
By default, if the response_queue overflows, an error is reported.
virtual function void set_response_status( uvm_tlm_response_status_e  status )
Set the current value of the m_response_status variable
function void set_scope( string  s )
Set the value of the regular expression that identifies the set of scopes over which this resource is visible.
virtual function void set_sequencer ( uvm_sequencer_base  sequencer,   
uvm_reg_adapter  adapter  =  null )
Set the sequencer and adapter associated with this map.
virtual function void set_sequencer( uvm_sequencer_base  sequencer )
Sets the default sequencer for the sequence to sequencer.
static function void set_server( uvm_report_server  server )
Sets the global report server to use for reporting.
protected function void set_severity( uvm_severity  severity )
Change the severity of the message to severity.
function void set_severity_count( uvm_severity  severity,
int  count )
virtual function void set_streaming_width( int  unsigned  width )
Set the value of the m_streaming_width array
virtual function void set_string_local ( string  field_name,   
string  value,   
bit  recurse  =  1 )
virtual function void set_submap_offset ( uvm_reg_map  submap,
uvm_reg_addr_t  offset )
Set the offset of the given submap to offset.
virtual function void set_threshold ( int  threshold )
Sets the process threshold.
static function void set_time_resolution( real  res )
Set the default canonical time resolution.
function void set_timeout( time  timeout,   
bit  overridable  =  1 )
Specifies the timeout for the simulation.
function void set_transaction_id( integer  id )
Sets this transaction’s numeric identifier to id.
static function void set_type_override( string  original_type_name,   
string  override_type_name,   
bit  replace  =  1 )
A convenience function for uvm_factory::set_type_override_by_name, this method configures the factory to create an object of type override_type_name whenever the factory is asked to produce a type represented by original_type_name.
static function void set_type_override ( uvm_object_wrapper  override_type,   
bit  replace  =  1 )
Configures the factory to create an object of the type represented by override_type whenever a request is made to create an object of the type, T, represented by this proxy, provided no instance override applies.
static function void set_type_override ( uvm_object_wrapper  override_type,   
bit  replace  =  1 )
Configures the factory to create an object of the type represented by override_type whenever a request is made to create an object of the type represented by this proxy, provided no instance override applies.
function void set_type_override( uvm_resource_base  rsrc )
The resource provided as an argument will be entered into the pool using noraml precedence in the name map and will override the type.
function void set_type_override_by_name ( string  original_type_name,   
string  override_type_name,   
bit  replace  =  1 )
Configures the factory to create an object of the override’s type whenever a request is made to create an object of the original type, provided no instance override applies.
static function void set_type_override_by_type (
    uvm_object_wrapper  original_type,   
    uvm_object_wrapper  override_type,   
    bit  replace  =  1
)
A convenience function for uvm_factory::set_type_override_by_type, this method registers a factory override for components and objects created at this level of hierarchy or below.
function void set_type_override_by_type ( uvm_object_wrapper  original_type,   
uvm_object_wrapper  override_type,   
bit  replace  =  1 )
function void set_use_sequence_info( bit  value )
protected function void set_verbosity( int  verbosity )
Change the verbosity of the message to verbosity.
virtual function void set_volatility( bit  volatile )
Modify the volatility of the field to the specified one.
virtual function void set_write()
Set the current value of the m_command variable to UVM_TLM_WRITE_COMMAND.
uvm_severity sev = UVM_INFO
Sets the severity for printed messages.
This section defines sequences for testing registers and memories that are shared between two or more physical interfaces, i.e.
get config only for the specific field names in ‘field_array’.
int unsigned show_max = 1
Sets the maximum number of messages to send to the messager for miscompares of an object.
bit show_radix = 1
Indicates whether the radix string (‘h, and so on) should be prepended to an integral value when one is printed.
bit show_root = 0
This setting indicates whether or not the initial object that is printed (when current depth is 0) prints the full path name.
virtual task shutdown_phase( uvm_phase  phase )
The uvm_shutdown_phase phase implementation method.
function int size ()
Gets the number of implementation ports connected to this port.
bit size = 1
Controls whether to print a field’s size.
virtual function int size ()
Returns the number of items stored in the queue.
function int unsigned size()
The number of entries currently in the FIFO.
virtual function int size()
Returns the capacity of the FIFO-- that is, the number of entries the FIFO is capable of holding.
Exports a single interface that allows a slave to get or peek requests and to put responses.
uvm_hdl_path_slice slices[]
Array of individual slices, stored in most-to-least significant order
static function void sort_by_precedence( ref uvm_resource_types:: rsrc_q_t  q )
Given a list of resources, obtained for example from lookup_scope, sort the resources in precedence order.
function bit spell_check( string  s )
Invokes the spell checker for a string s.
function string sprint ( uvm_printer  printer  =  null )
The sprint method works just like the print method, except the output is returned in a string rather than displayed.
function void start ( uvm_event  e  =  null )
Starts the heartbeat monitor.
virtual task start ( uvm_sequencer_base  sequencer,   
uvm_sequence_base  parent_sequence  =  null,
int  this_priority  =  -1,
bit  call_pre_post  =  1 )
Executes this sequence, returning when the sequence has completed.
virtual task start_item ( uvm_sequence_item  item,   
int  set_priority  =  -1,
uvm_sequencer_base  sequencer  =  null )
start_item and finish_item together will initiate operation of a sequence item.
virtual function void start_of_simulation_phase( uvm_phase  phase )
The uvm_start_of_simulation_phase phase implementation method.
rand bit [63:0] start_offset
The starting offset of the region
virtual function void start_phase_sequence( uvm_phase  phase )
Start the default sequence for this phase, if any.
uvm_phase starting_phase
If non-null, specifies the phase in which this sequence was started.
uvm_status_e status
The result of the transaction: UVM_IS_OK, UVM_HAS_X, UVM_NOT_OK.
uvm_status_e status
The result of the transaction: IS_OK, HAS_X, or ERROR.
function void stop ()
Stops the heartbeat monitor.
virtual function void stop_sequences()
Tells the sequencer to kill all sequences and child sequences currently operating on the sequencer, and remove all requests, locks and responses that are currently queued.
virtual function void stop_sequences()
Tells the sequencer to kill all sequences and child sequences currently operating on the sequencer, and remove all requests, locks and responses that are currently queued.
virtual function void stop_stimulus_generation
Stops the generation of stimulus.
The sequence has been forcibly ended by issuing a uvm_sequence_base::kill() on the sequence.
virtual function void summarize( UVM_FILE  file  =  )
See uvm_report_object::report_summarize method.
static function void summarize_report_catcher( UVM_FILE  file )
This function is called automatically by uvm_report_server::summarize().
bit supports_byte_enable
Set this bit in extensions of this class if the bus protocol supports byte enables.
virtual task suspend ()
Suspend this component.
function void sync( uvm_domain  target,   
uvm_phase  phase  =  null,
uvm_phase  with_phase  =  null )
Synchronize two domains, fully or partially
Add soft sync relationships between nodes
This type parameter specifies the base object type with which the CB callback objects will be registered.
T1 first
The first value in the pair
T1 first
The handle to the first object in the pair
T2 second
The second value in the pair
T2 second
The handle to the second object in the pair
bit [63:0] tests = UVM_DO_ALL_REG_MEM_TESTS
The pre-defined test sequences to be executed.
This section defines built-in TLM channel classes.
The following classes define the TLM export classes.
This section defines TLM-based FIFO classes.
The Generic Payload transaction represents a generic bus read/write access.
Base class type to define the transport functions.
The TLM implemenation declaration macros provide a way for components to provide multiple implemenation ports of the same implementation interface.
The UVM TLM library defines several abstract, transaction-level interfaces and the ports and exports that facilitate their use.
The following classes define the TLM port classes.
A collection of base classes, one for each socket type.
Each uvm_tlm_*_socket class is derived from a corresponding uvm_tlm_*_socket_base class.
Each of the interface methods take a handle to the transaction to be transported and a reference argument for the delay.
The TLM1 ports provide blocking and nonblocking pass-by-value transaction-level interfaces.
Each TLM1 interface is either blocking, non-blocking, or a combination of these two.
The TLM2 sockets provide blocking and nonblocking transaction-level interfaces with well-defined completion semantics.
This section defines the export classes for connecting TLM2 interfaces.
This section defines the implementation classes for connecting TLM2 interfaces.
Sockets group together all the necessary core interfaces for transportation and binding, allowing more generic usage models than just TLM core interfaces.
The following defines TLM2 port classes.
uvm_component top_levels[$]
This variable is a list of all of the top level components in UVM.
integer tr_handle = 0
This is an integral handle to a transaction object.
function bit trace_mode ( int  mode  =  -1 )
Set or get the trace mode for the objection object.
virtual task transport( input T1  req  ,
output  T2  rsp )
Executes the given request and returns the response in the given output argument.
The transport interface sends a request transaction and returns a response transaction in a single task call, thereby enforcing an in-order execution semantic.
The put_export provides both the blocking and non-blocking transport interface methods to the response FIFO:
virtual function void traverse( uvm_component  comp,
uvm_phase  phase,
uvm_phase_state  state )
Traverses the component tree in bottom-up order, calling execute for each component.
virtual function void traverse( uvm_component  comp,
uvm_phase  phase,
uvm_phase_state  state )
Traverses the component tree in bottom-up order, calling execute for each component.
virtual function void traverse( uvm_component  comp,
uvm_phase  phase,
uvm_phase_state  state )
Traverses the component tree in top-down order, calling execute for each component.
virtual function void trigger ( uvm_object  data  =  null )
Triggers the event, resuming all waiting processes.
virtual function bit try_get( output  T2  t )
Provides a new transaction of type T.
virtual task try_next_item( output  T1  t )
Retrieves the next available item from a sequence if one is available.
virtual function bit try_peek( output  T2  t )
Provides a new transaction without consuming it.
virtual function bit try_put( input  T1  t )
Sends a transaction of type T, if possible.
static function void turn_off_auditing()
Turn auditing off for the resource database.
static function void turn_off_tracing()
Turn tracing off for the configuration database.
static function void turn_off_tracing()
Turn tracing off for the resource database.
static function void turn_on_auditing()
Turn auditing on for the resource database.
static function void turn_on_tracing()
Turn tracing on for the configuration database.
static function void turn_on_tracing()
Turn tracing on for the resource database.
Resources can be identified by type using a static type handle.
bit type_name = 1
Controls whether to print a field’s type name.