Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
U
 ungrab
 Unidirectional Interfaces&Ports
 UNINITIALIZED_PHASE
 unlock
 unpack
 unpack_bits
 unpack_bytes
 unpack_field
 unpack_field_int
 unpack_ints
 unpack_object
 unpack_real
 unpack_string
 unpack_time
 Unpacking
 Unpacking Macros
 Unpacking-No Size Info
 Unpacking-With Size Info
 unsigned_radix
 unsync
 update
 update_reg
 Usage
 use_metadata
 use_record_attribute
 use_response_handler
 use_uvm_seeding
 used
 User-Defined Phases
 user_priority_arbitration
 Utility and Field Macros for Components and Objects
 Utility Classes
 Utility Functions
 Utility Macros
 UVM Common Phases
 UVM Configuration Database
 UVM Factory
 UVM HDL Backdoor Access support routines
 UVM Links
 UVM Recorders
 UVM Report Server
 UVM Resource Database
 UVM Revision Values
 UVM Run-Time Phases
 UVM Version Defines
 UVM Version Ladder
 uvm_*_export#(REQ,RSP)
 uvm_*_export#(T)
 uvm_*_imp ports
 uvm_*_imp#(REQ,RSP,IMP,REQ_IMP,RSP_IMP)
 uvm_*_imp#(T,IMP)
 uvm_*_port#(REQ,RSP)
 uvm_*_port#(T)
 uvm_access_e
 uvm_action
 UVM_ACTIVE
 uvm_active_passive_enum
 uvm_agent
 uvm_algorithmic_comparator#(BEFORE,AFTER,TRANSFORMER)
 UVM_ALL_DROPPED
 uvm_analysis_export
 uvm_analysis_imp
 uvm_analysis_port
 UVM_BACKDOOR
 uvm_barrier
 UVM_BIG_ENDIAN
 UVM_BIG_FIFO
 UVM_BIN
 uvm_bits_to_string
 uvm_bitstream_t
 UVM_BODY
 uvm_bottom_up_visitor_adapter
 uvm_bottomup_phase
 uvm_build_phase
 uvm_built_in_clone#(T)
 uvm_built_in_comp#(T)
 uvm_built_in_converter#(T)
 uvm_built_in_pair#(T1,T2)
 uvm_by_level_visitor_adapter
 UVM_CALL_HOOK
 uvm_callback
 uvm_callback_iter
 uvm_callbacks#(T,CB)
 uvm_cause_effect_link
 UVM_CHECK
 uvm_check_e
 uvm_check_phase
 uvm_class_clone#(T)
 uvm_class_comp#(T)
 uvm_class_converter#(T)
 uvm_class_pair#(T1,T2)
 uvm_cmdline_processor
 uvm_comparer
 uvm_component
 uvm_component_name_check_visitor
 uvm_component_proxy
 uvm_component_registry#(T,Tname)
 uvm_config_db
 uvm_config_db_options
 uvm_config_int
 uvm_config_object
 uvm_config_string
 uvm_config_wrapper
 uvm_configure_phase
 uvm_connect_phase
 uvm_coreservice_t
 UVM_COUNT
 uvm_coverage_model_e
 UVM_CREATED
 UVM_CVR_ADDR_MAP
 UVM_CVR_ALL
 UVM_CVR_FIELD_VALS
 UVM_CVR_REG_BITS
 UVM_DEC
 UVM_DEEP
 uvm_default_comparer
 uvm_default_coreservice_t
 uvm_default_factory
 uvm_default_line_printer
 uvm_default_packer
 UVM_DEFAULT_PATH
 uvm_default_printer
 uvm_default_report_server
 uvm_default_table_printer
 uvm_default_tree_printer
 UVM_DISPLAY
 UVM_DO_ALL_REG_MEM_TESTS
 UVM_DO_MEM_ACCESS
 UVM_DO_MEM_WALK
 UVM_DO_REG_ACCESS
 UVM_DO_REG_BIT_BASH
 UVM_DO_REG_HW_RESET
 UVM_DO_SHARED_ACCESS
 uvm_domain
 uvm_driver#(REQ,RSP)
 UVM_DROPPED
 uvm_elem_kind_e
 uvm_end_of_elaboration_phase
 UVM_ENDED
 uvm_endianness_e
 UVM_ENUM
 uvm_enum_wrapper#(T)
 uvm_env
 UVM_EQ
 UVM_ERROR
 uvm_event#(T)
 uvm_event_base
 uvm_event_callback
 UVM_EXIT
 UVM_EXPORT
 uvm_extract_phase
 uvm_factory
 UVM_FATAL
 UVM_FIELD
 uvm_final_phase
 UVM_FINISHED
 UVM_FIX_REV
 UVM_FRONTDOOR
 UVM_FULL
 uvm_get_report_object
 uvm_get_to_lock_dap
 UVM_GT
 UVM_GTE
 UVM_HAS_X
 uvm_hdl_check_path
 uvm_hdl_deposit
 uvm_hdl_force
 uvm_hdl_force_time
 UVM_HDL_MAX_WIDTH
 uvm_hdl_path_concat
 uvm_hdl_path_slice
 uvm_hdl_read
 uvm_hdl_release
 uvm_hdl_release_and_read
 uvm_heartbeat
 UVM_HEX
 UVM_HIER
 uvm_hier_e
 UVM_HIGH
 UVM_IMPLEMENTATION
 uvm_in_order_built_in_comparator#(T)
 uvm_in_order_class_comparator#(T)
 uvm_in_order_comparator#(T,comp_type,convert,pair_type)
 UVM_INFO
 uvm_integral_t
 uvm_is_match
 UVM_IS_OK
 uvm_line_printer
 uvm_link_base
 UVM_LITTLE_ENDIAN
 UVM_LITTLE_FIFO
 UVM_LOG
 UVM_LOW
 UVM_LT
 UVM_LTE
 uvm_main_phase
 UVM_MAJOR_REV
 UVM_MAJOR_REV_1
 UVM_MEDIUM
 uvm_mem
 UVM_MEM
 uvm_mem_access_seq
 uvm_mem_cb
 uvm_mem_cb_iter
 uvm_mem_mam
 uvm_mem_mam_cfg
 uvm_mem_mam_policy
 uvm_mem_region
 uvm_mem_shared_access_seq
 uvm_mem_single_access_seq
 uvm_mem_single_walk_seq
 uvm_mem_walk_seq
 UVM_MINOR_REV
 UVM_MINOR_REV_2
 uvm_monitor
 UVM_NAME
 UVM_NE
 UVM_NO_ACTION
 UVM_NO_CHECK
 UVM_NO_COVERAGE
 UVM_NO_ENDIAN
 UVM_NO_HIER
 UVM_NONE
 UVM_NOT_OK
 uvm_object
 uvm_object_registry#(T,Tname)
 uvm_object_string_pool#(T)
 uvm_object_wrapper
 uvm_objection
 uvm_objection_callback
 uvm_objection_event
 UVM_OCT
 uvm_packer
 uvm_pair classes
 uvm_parent_child_link
 UVM_PASSIVE
 uvm_path_e
 uvm_phase
 uvm_phase_cb
 uvm_phase_cb_pool
 UVM_PHASE_CLEANUP
 UVM_PHASE_DOMAIN
 UVM_PHASE_DONE
 UVM_PHASE_DORMANT
 UVM_PHASE_ENDED
 UVM_PHASE_EXECUTING
 UVM_PHASE_IMP
 UVM_PHASE_JUMPING
 UVM_PHASE_NODE
 UVM_PHASE_READY_TO_END
 UVM_PHASE_SCHEDULE
 UVM_PHASE_SCHEDULED
 UVM_PHASE_STARTED
 uvm_phase_state
 uvm_phase_state_change
 UVM_PHASE_SYNCING
 UVM_PHASE_TERMINAL
 uvm_phase_type
 UVM_PHASE_UNINITIALIZED
 uvm_pool#(KEY,T)
 UVM_PORT
 uvm_port_base#(IF)
 uvm_port_component#(PORT)
 uvm_port_component_base
 uvm_port_type_e
 UVM_POST_BODY
 uvm_post_configure_phase
 uvm_post_main_phase
 uvm_post_reset_phase
 uvm_post_shutdown_phase
 UVM_POST_START
 UVM_POST_VERSION_1_1
 UVM_PRE_BODY
 uvm_pre_configure_phase
 uvm_pre_main_phase
 uvm_pre_reset_phase
 uvm_pre_shutdown_phase
 UVM_PRE_START
 UVM_PREDICT
 UVM_PREDICT_DIRECT
 uvm_predict_e
 UVM_PREDICT_READ
 UVM_PREDICT_WRITE
 uvm_printer
 uvm_printer_knobs
 uvm_process_report_message
 uvm_push_driver#(REQ,RSP)
 uvm_push_sequencer#(REQ,RSP)
 uvm_queue#(T)
 uvm_radix_enum
 UVM_RAISED
 uvm_random_stimulus#(T)
 UVM_READ
 UVM_REAL
 UVM_REAL_DEC
 UVM_REAL_EXP
 uvm_recorder
 uvm_recursion_policy_enum
 UVM_REFERENCE
 uvm_reg
 UVM_REG
 uvm_reg_access_seq
 uvm_reg_adapter
 uvm_reg_addr_logic_t
 uvm_reg_addr_t
 uvm_reg_backdoor
 uvm_reg_bd_cb
 uvm_reg_bd_cb_iter
 uvm_reg_bit_bash_seq
 uvm_reg_block
 uvm_reg_bus_op
 uvm_reg_byte_en_t
 uvm_reg_cb
 uvm_reg_cb_iter
 uvm_reg_cbs
 uvm_reg_cvr_t
 uvm_reg_data_logic_t
 uvm_reg_data_t
 uvm_reg_field
 uvm_reg_field_cb
 uvm_reg_field_cb_iter
 uvm_reg_fifo
 uvm_reg_file
 uvm_reg_frontdoor
 uvm_reg_hw_reset_seq
 uvm_reg_indirect_data
 uvm_reg_item
 uvm_reg_map
 uvm_reg_mem_access_seq
 uvm_reg_mem_built_in_seq
 uvm_reg_mem_hdl_paths_seq
 uvm_reg_mem_shared_access_seq
 uvm_reg_mem_tests_e
 uvm_reg_predictor
 uvm_reg_read_only_cbs
 uvm_reg_sequence
 uvm_reg_shared_access_seq
 uvm_reg_single_access_seq
 uvm_reg_single_bit_bash_seq
 uvm_reg_tlm_adapter
 uvm_reg_transaction_order_policy
 uvm_reg_write_only_cbs
 uvm_related_link
 uvm_report
 uvm_report_catcher
 uvm_report_enabled
 uvm_report_error
 uvm_report_fatal
 uvm_report_handler
 uvm_report_info
 uvm_report_message
 uvm_report_message_element_base
 uvm_report_message_element_container
 uvm_report_message_int_element
 uvm_report_message_object_element
 uvm_report_message_string_element
 uvm_report_object
 uvm_report_phase
 uvm_report_server
 uvm_report_warning
 uvm_reset_phase
 uvm_resource#(T)
 uvm_resource_base
 uvm_resource_db
 uvm_resource_db_options
 uvm_resource_options
 uvm_resource_pool
 uvm_resource_types
 UVM_RM_RECORD
 uvm_root
 uvm_run_phase
 uvm_scoreboard
 UVM_SEQ_ARB_FIFO
 UVM_SEQ_ARB_RANDOM
 UVM_SEQ_ARB_STRICT_FIFO
 UVM_SEQ_ARB_STRICT_RANDOM
 UVM_SEQ_ARB_USER
 UVM_SEQ_ARB_WEIGHTED
 uvm_seq_item_pull_export#(REQ,RSP)
 uvm_seq_item_pull_imp#(REQ,RSP,IMP)
 uvm_seq_item_pull_port#(REQ,RSP)
 UVM_SEQ_LIB_ITEM
 UVM_SEQ_LIB_RAND
 UVM_SEQ_LIB_RANDC
 UVM_SEQ_LIB_USER
 uvm_sequence#(REQ,RSP)
 uvm_sequence_base
 uvm_sequence_item
 uvm_sequence_lib_mode
 uvm_sequence_library
 uvm_sequence_library_cfg
 uvm_sequence_library_utils
 uvm_sequence_state_enum
 uvm_sequencer#(REQ,RSP)
 uvm_sequencer_arb_mode
 uvm_sequencer_base
 uvm_sequencer_param_base#(REQ,RSP)
 uvm_set_before_get_dap
 uvm_set_get_dap_base
 uvm_severity
 UVM_SHALLOW
 uvm_shutdown_phase
 uvm_simple_lock_dap
 uvm_split_string
 uvm_sqr_if_base#(REQ,RSP)
 uvm_start_of_simulation_phase
 uvm_status_e
 UVM_STOP
 UVM_STOPPED
 UVM_STRING
 uvm_string_to_bits
 uvm_structure_proxy#(STRUCTURE)
 uvm_subscriber
 uvm_table_printer
 uvm_task_phase
 uvm_test
 uvm_text_recorder
 uvm_text_tr_database
 uvm_text_tr_stream
 UVM_TIME
 UVM_TLM_ACCEPTED
 UVM_TLM_ADDRESS_ERROR_RESPONSE
 uvm_tlm_analysis_fifo#(T)
 uvm_tlm_b_initiator_socket
 uvm_tlm_b_initiator_socket_base
 uvm_tlm_b_passthrough_initiator_socket
 uvm_tlm_b_passthrough_initiator_socket_base
 uvm_tlm_b_passthrough_target_socket
 uvm_tlm_b_passthrough_target_socket_base
 uvm_tlm_b_target_socket
 uvm_tlm_b_target_socket_base
 uvm_tlm_b_transport_export
 uvm_tlm_b_transport_imp
 uvm_tlm_b_transport_port
 UVM_TLM_BURST_ERROR_RESPONSE
 UVM_TLM_BYTE_ENABLE_ERROR_RESPONSE
 uvm_tlm_command_e
 UVM_TLM_COMMAND_ERROR_RESPONSE
 UVM_TLM_COMPLETED
 uvm_tlm_extension
 uvm_tlm_extension_base
 uvm_tlm_fifo#(T)
 uvm_tlm_fifo_base#(T)
 UVM_TLM_GENERIC_ERROR_RESPONSE
 uvm_tlm_generic_payload
 uvm_tlm_gp
 uvm_tlm_if
 uvm_tlm_if_base#(T1,T2)
 UVM_TLM_IGNORE_COMMAND
 UVM_TLM_INCOMPLETE_RESPONSE
 uvm_tlm_nb_initiator_socket
 uvm_tlm_nb_initiator_socket_base
 uvm_tlm_nb_passthrough_initiator_socket
 uvm_tlm_nb_passthrough_initiator_socket_base
 uvm_tlm_nb_passthrough_target_socket
 uvm_tlm_nb_passthrough_target_socket_base
 uvm_tlm_nb_target_socket
 uvm_tlm_nb_target_socket_base
 uvm_tlm_nb_transport_bw_export
 uvm_tlm_nb_transport_bw_imp
 uvm_tlm_nb_transport_bw_port
 uvm_tlm_nb_transport_fw_export
 uvm_tlm_nb_transport_fw_imp
 uvm_tlm_nb_transport_fw_port
 UVM_TLM_OK_RESPONSE
 uvm_tlm_phase_e
 UVM_TLM_READ_COMMAND
 uvm_tlm_req_rsp_channel#(REQ,RSP)
 uvm_tlm_response_status_e
 uvm_tlm_sync_e
 uvm_tlm_time
 uvm_tlm_transport_channel#(REQ,RSP)
 UVM_TLM_UPDATED
 UVM_TLM_WRITE_COMMAND
 uvm_top
 uvm_top_down_visitor_adapter
 uvm_topdown_phase
 uvm_tr_database
 uvm_tr_stream
 uvm_transaction
 uvm_tree_printer
 UVM_UNFORMAT2
 UVM_UNFORMAT4
 UVM_UNSIGNED
 uvm_utils#(TYPE,FIELD)
 uvm_verbosity
 UVM_VERSION_1_2
 UVM_VERSION_STRING
 uvm_visitor#(NODE)
 uvm_visitor_adapter#(STRUCTURE,uvm_visitor#(STRUCTURE))
 uvm_void
 uvm_vreg
 uvm_vreg_cb
 uvm_vreg_cb_iter
 uvm_vreg_cbs
 uvm_vreg_field
 uvm_vreg_field_cb
 uvm_vreg_field_cb_iter
 uvm_vreg_field_cbs
 uvm_wait_for_nba_region
 uvm_wait_op
 UVM_WARNING
 UVM_WRITE
function void ungrab(
    uvm_sequencer_base  sequencer  =  null
)
Removes any locks or grabs obtained by this sequence on the specified sequencer.
virtual function void ungrab(
    uvm_sequence_base  sequence_ptr
)
Removes any locks and grabs obtained by the specified sequence_ptr.
The unidirectional TLM interfaces consist of blocking, non-blocking, and combined blocking and non-blocking variants of the put, get and peek interfaces, plus a non-blocking analysis interface.
Defaults for constructor
function void unlock(
    uvm_sequencer_base  sequencer  =  null
)
Removes any locks or grabs obtained by this sequence on the specified sequencer.
virtual function void unlock(
    uvm_sequence_base  sequence_ptr
)
Removes any locks and grabs obtained by the specified sequence_ptr.
function void unlock()
Unlocks the data value
function int unpack (
    ref  bit  bitstream[],   
    input  uvm_packer  packer  =  null
)
virtual function void unpack_bits(
    ref  bit  value[],   
    input  int  size  =  -1
)
Unpacks bits from the pack array into an unpacked array of bits.
function int unpack_bytes (
    ref byte  unsigned  bytestream[],   
    input  uvm_packer  packer  =  null
)
virtual function void unpack_bytes(
    ref  byte  value[],   
    input  int  size  =  -1
)
Unpacks bits from the pack array into an unpacked array of bytes.
virtual function uvm_bitstream_t unpack_field (
    int  size
)
Unpacks bits from the pack array and returns the bit-stream that was unpacked.
virtual function uvm_integral_t unpack_field_int (
    int  size
)
Unpacks bits from the pack array and returns the bit-stream that was unpacked.
function int unpack_ints (
    ref int  unsigned  intstream[],   
    input  uvm_packer  packer  =  null
)
The unpack methods extract property values from an array of bits, bytes, or ints.
virtual function void unpack_ints(
    ref  int  value[],   
    input  int  size  =  -1
)
Unpacks bits from the pack array into an unpacked array of ints.
virtual function void unpack_object (
    uvm_object  value
)
Unpacks an object and stores the result into value.
virtual function real unpack_real ()
Unpacks the next 64 bits of the pack array and places them into a real variable.
virtual function string unpack_string (
    int  num_chars  =  -1
)
Unpacks a string.
virtual function time unpack_time ()
Unpacks the next 64 bits of the pack array and places them into a time variable.
The unpacking macros assist users who implement the uvm_object::do_unpack method.
string unsigned_radix = "'d"
This is the string which should be prepended to the value of an integral type when a radix of UVM_UNSIGNED is used for the radix of the integral object.
function void unsync(
    uvm_domain  target,   
    uvm_phase  phase  =  null,
    uvm_phase  with_phase  =  null
)
Remove synchronization between two domains, fully or partially
virtual task update(
    output  uvm_status_e  status,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Updates the content of the register in the design to match the desired value
virtual task update(
    output  uvm_status_e  status,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Batch update of register.
virtual task update(
    output  uvm_status_e  status,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Pushes (writes) all values preloaded using set() to the DUT.
virtual task update_reg(
    input  uvm_reg  rg,   
    output  uvm_status_e  status,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Updates the given register rg using uvm_reg::update, supplying ‘this’ as the parent argument.
This example illustrates basic TLM connectivity using the blocking put interface.
Using the factory involves three basic operations
This section describes usage for the uvm_*_registry classes.
bit use_metadata
This flag indicates whether to encode metadata when packing dynamic data, or to decode metadata when unpacking.
virtual function bit use_record_attribute()
Indicates that this recorder does (or does not) support usage of the `uvm_record_attribute macro.
function void use_response_handler(
    bit  enable
)
When called with enable set to 1, responses will be sent to the response handler.
static bit use_uvm_seeding = 1
This bit enables or disables the UVM seeding mechanism.
virtual function int used()
Returns the number of entries put into the FIFO.
To define your own custom phase, use the following pattern.
virtual function integer user_priority_arbitration(
    integer  avail_sequences[$]
)
When the sequencer arbitration mode is set to UVM_SEQ_ARB_USER (via the set_arbitration method), the sequencer will call this function each time that it needs to arbitrate among sequences.
The utils macros define the infrastructure needed to enable the object/component for correct factory operation.
The common phases are the set of function and task phases that all uvm_components execute together.
This page covers the classes that define the UVM factory facility.
These routines provide an interface to the DPI/PLI implementation of backdoor access used by registers.
The uvm_link_base class, and its extensions, are provided as a mechanism to allow for compile-time safety when trying to establish links between records within a uvm_tr_database.
This page covers the classes that define the UVM report server facility.
These macros provide the current values for the MAJOR, MINOR, and optionally the FIX revision.
The run-time schedule is the pre-defined phase schedule which runs concurrently to the uvm_run_phase global run phase.
The bidirectional uvm_*_export is a port that forwards or promotes an interface implementation from a child component to its parent.
The unidirectional uvm_*_export is a port that forwards or promotes an interface implementation from a child component to its parent.
The following defines the TLM implementation (imp) classes.
Bidirectional implementation (imp) port classes--An imp port provides access to an implementation of the associated interface to all connected ports and exports.
Unidirectional implementation (imp) port classes--An imp port provides access to an implementation of the associated interface to all connected ports and exports.
These bidirectional ports are instantiated by components that require, or use, the associated interface to convey transactions.
These unidirectional ports are instantiated by components that require, or use, the associated interface to convey transactions.
Type of operation begin performed
Defines all possible values for report actions.
“Active” mode
Convenience value to define whether a component, usually an agent, is in “active” mode or “passive” mode.
virtual class uvm_agent extends uvm_component
The uvm_agent virtual class should be used as the base class for the user- defined agents.
class uvm_algorithmic_comparator #(
    type  BEFORE  =  int,
    type  AFTER  =  int,
    type  TRANSFORMER  =  int
) extends uvm_component
Compares two streams of data objects of different types, BEFORE and AFTER.
all objections have been dropped
class uvm_analysis_export #(
    type  T  =  int
) extends uvm_port_base #(uvm_tlm_if_base #(T,T))
Exports a lower-level uvm_analysis_imp to its parent.
class uvm_analysis_imp #(
    type  T  =  int,
    type  IMP  =  int
) extends uvm_port_base #(uvm_tlm_if_base #(T,T))
Receives all transactions broadcasted by a uvm_analysis_port.
class uvm_analysis_port # (
    type  T  =  int
) extends uvm_port_base # (uvm_tlm_if_base #(T,T))
Broadcasts a value to all subscribers implementing a uvm_analysis_imp.
Use the back door
class uvm_barrier extends uvm_object
The uvm_barrier class provides a multiprocess synchronization mechanism.
Most-significant bytes first in consecutive addresses
Most-significant bytes first at the same address
Selects binary (%b) format
function string uvm_bits_to_string(
    logic  [UVM_LARGE_STRING:0]  str
)
Converts an input bit-vector to its string equivalent.
The bitstream type is used as a argument type for passing integral values in such methods as uvm_object::set_int_local, uvm_config_int, uvm_printer::print_field, uvm_recorder::record_field, uvm_packer::pack_field and uvm_packer::unpack_field.
The sequence is started and the uvm_sequence_base::body() task is being executed.
class uvm_bottom_up_visitor_adapter#(
    type  STRUCTURE  =  uvm_component,
      VISITOR  =  uvm_visitor#(STRUCTURE)
) extends uvm_visitor_adapter#(STRUCTURE,VISITOR)
This uvm_bottom_up_visitor_adapter traverses the STRUCTURE s (and will invoke the visitor) in a hierarchical fashion.
virtual class uvm_bottomup_phase extends uvm_phase
Virtual base class for function phases that operate bottom-up.
class uvm_build_phase extends uvm_topdown_phase
Create and configure of testbench structure
class uvm_built_in_clone #(
    type  T  =  int
)
This policy class is used to clone built-in types via the = operator.
class uvm_built_in_comp #(
    type  T  =  int
)
This policy class is used to compare built-in types.
class uvm_built_in_converter #(
    type  T  =  int
)
This policy class is used to convert built-in types to strings.
class uvm_built_in_pair #(
    type  T1  =  int,
      T2  =  T1
) extends uvm_object
Container holding two variables of built-in types (int, string, etc.)
class uvm_by_level_visitor_adapter#(
    type  STRUCTURE  =  uvm_component,
      VISITOR  =  uvm_visitor#(STRUCTURE)
) extends uvm_visitor_adapter#(STRUCTURE,VISITOR)
This uvm_by_level_visitor_adapter traverses the STRUCTURE s (and will invoke the visitor) in a hierarchical fashion.
Callback the report hook methods
class uvm_callback extends uvm_object
The uvm_callback class is the base class for user-defined callback classes.
class uvm_callback_iter#(
    type  T  =  uvm_object,
    type  CB  =  uvm_callback
)
The uvm_callback_iter class is an iterator class for iterating over callback queues of a specific callback type.
class uvm_callbacks #(
    type  T  =  uvm_object,
    type  CB  =  uvm_callback
) extends uvm_typed_callbacks#(T)
The uvm_callbacks class provides a base class for implementing callbacks, which are typically used to modify or augment component behavior without changing the component class.
class uvm_cause_effect_link extends uvm_link_base
The uvm_cause_effect_link is used to represent a Cause/Effect relationship between two objects.
Read and check
Read-only or read-and-check
class uvm_check_phase extends uvm_bottomup_phase
Check for any unexpected conditions in the verification environment.
class uvm_class_clone #(
    type  T  =  int
)
This policy class is used to clone class objects.
class uvm_class_comp #(
    type  T  =  int
)
This policy class is used to compare two objects of the same type.
class uvm_class_converter #(
    type  T  =  int
)
This policy class is used to convert a class object to a string.
class uvm_class_pair #(
    type  T1  =  int,
      T2  =  T1
) extends uvm_object
Container holding handles to two objects whose types are specified by the type parameters, T1 and T2.
class uvm_cmdline_processor extends uvm_report_object
This class provides an interface to the command line arguments that were provided for the given simulation.
class uvm_comparer
The uvm_comparer class provides a policy object for doing comparisons.
virtual class uvm_component extends uvm_report_object
The uvm_component class is the root base class for UVM components.
class uvm_component_name_check_visitor extends uvm_visitor#(
    uvm_component
)
This specialized visitor analyze the naming of the current component.
class uvm_component_proxy extends uvm_structure_proxy#(
    uvm_component
)
The class is providing the proxy to extract the direct subcomponents of s
class uvm_component_registry #(
    type  T  =  uvm_component,
    string  Tname  =  "<unknown>"
) extends uvm_object_wrapper
The uvm_component_registry serves as a lightweight proxy for a component of type T and type name Tname, a string.
class uvm_config_db#(
    type  T  =  int
) extends uvm_resource_db#(T)
All of the functions in uvm_config_db#(T) are static, so they must be called using the :: operator.
Provides a namespace for managing options for the configuration DB facility.
Convenience type for uvm_config_db#(uvm_bitstream_t)
Convenience type for uvm_config_db#(uvm_object)
Convenience type for uvm_config_db#(string)
Convenience type for uvm_config_db#(uvm_object_wrapper)
class uvm_configure_phase extends uvm_task_phase
The SW configures the DUT.
class uvm_connect_phase extends uvm_bottomup_phase
Establish cross-component connections.
virtual class uvm_coreservice_t
The singleton instance of uvm_coreservice_t provides a common point for all central uvm services such as uvm_factory, uvm_report_server, ...
Counts the number of reports with the COUNT attribute.
Coverage models available or desired.
The sequence has been allocated.
Individual register and memory addresses
All coverage models
Field values
Individual register bits
Selects decimal (%d) format
Objects are deep copied (object must implement uvm_object::copy method)
uvm_comparer uvm_default_comparer = new()
The default compare policy.
class uvm_default_coreservice_t extends uvm_coreservice_t
uvm_default_coreservice_t provides a default implementation of the uvm_coreservice_t API.
class uvm_default_factory extends uvm_factory
Default implementation of the UVM factory.
uvm_line_printer uvm_default_line_printer = new()
The line printer is a global object that can be used with uvm_object::do_print to get single-line style printing.
uvm_packer uvm_default_packer = new()
The default packer policy.
Operation specified by the context
uvm_printer uvm_default_printer = uvm_default_table_printer
The default printer policy.
class uvm_default_report_server extends uvm_report_server
Default implementation of the UVM report server.
uvm_table_printer uvm_default_table_printer = new()
The table printer is a global object that can be used with uvm_object::do_print to get tabular style printing.
uvm_tree_printer uvm_default_tree_printer = new()
The tree printer is a global object that can be used with uvm_object::do_print to get multi-line tree style printing.
Sends the report to the standard output
Run all of the above
Run uvm_mem_access_seq
Run uvm_mem_walk_seq
Run uvm_reg_access_seq
Run uvm_reg_bit_bash_seq
Run uvm_reg_hw_reset_seq
Run uvm_reg_mem_shared_access_seq
class uvm_domain extends uvm_phase
Phasing schedule node representing an independent branch of the schedule.
class uvm_driver #(
    type  REQ  =  uvm_sequence_item,
    type  RSP  =  REQ
) extends uvm_component
The base class for drivers that initiate requests for new transactions via a uvm_seq_item_pull_port.
an objection was raised
Type of element being read or written
class uvm_end_of_elaboration_phase extends uvm_bottomup_phase
Fine-tune the testbench.
The sequence has completed the execution of the uvm_sequence_base::body() task.
Specifies byte ordering
Selects enumeration value (name) format
class uvm_enum_wrapper#(
    type  T  =  uvm_active_passive_enum
)
The uvm_enum_wrapper#(T) class is a utility mechanism provided as a convenience to the end user.
virtual class uvm_env extends uvm_component
The base class for hierarchical containers of other components that together comprise a complete environment.
equal
Indicates a real problem.
class uvm_event#(
    type  T  =  uvm_object
) extends uvm_event_base
The uvm_event class is an extension of the abstract uvm_event_base class.
virtual class uvm_event_base extends uvm_object
The uvm_event_base class is an abstract wrapper class around the SystemVerilog event construct.
virtual class uvm_event_callback#(
    type  T  =  uvm_object
) extends uvm_object
The uvm_event_callback class is an abstract class that is used to create callback objects which may be attached to uvm_event#(T)s.
Terminates the simulation immediately.
The port provides the interface that is its type parameter via a connection to some other export or implementation.
class uvm_extract_phase extends uvm_bottomup_phase
Extract data from different points of the verification environment.
virtual class uvm_factory
As the name implies, uvm_factory is used to manufacture (create) UVM objects and components.
Indicates a problem from which simulation cannot recover.
Field
class uvm_final_phase extends uvm_topdown_phase
Tie up loose ends.
The sequence is completely finished executing.
(Optionally) Defines the FIX revision letter.
Use the front door
Report is issued if configured verbosity is set to UVM_FULL or above.
function uvm_report_object uvm_get_report_object()
Returns the nearest uvm_report_object when called.
function uvm_report_object uvm_get_report_object()
Returns the nearest uvm_report_object when called.
class uvm_get_to_lock_dap#(
    type  T  =  int
) extends uvm_set_get_dap_base#(T)
Provides a ‘Get-To-Lock’ Data Access Policy.
greater than
greater than or equal to
Operation completed successfully bit had unknown bits.
import "DPI-C" context function int uvm_hdl_check_path(
    string  path
)
Checks that the given HDL path exists.
import "DPI-C" context function int uvm_hdl_deposit(
    string  path,
    uvm_hdl_data_t  value
)
Sets the given HDL path to the specified value.
import "DPI-C" context function int uvm_hdl_force(
    string  path,
    uvm_hdl_data_t  value
)
Forces the value on the given path.
task uvm_hdl_force_time(
    string  path,   
    uvm_hdl_data_t  value,   
    time  force_time  =  0
)
Forces the value on the given path for the specified amount of force_time.
parameter int UVM_HDL_MAX_WIDTH = `UVM_HDL_MAX_WIDTH
Sets the maximum size bit vector for backdoor access.
class uvm_hdl_path_concat
Concatenation of HDL variables
Slice of an HDL path
import "DPI-C" context function int uvm_hdl_read(
    string  path,
    output  uvm_hdl_data_t  value
)
Gets the value at the given path.
import "DPI-C" context function int uvm_hdl_release(
    string  path
)
Releases a value previously set with uvm_hdl_force.
import "DPI-C" context function int uvm_hdl_release_and_read(
    string  path,
    inout  uvm_hdl_data_t  value
)
Releases a value previously set with uvm_hdl_force.
Heartbeats provide a way for environments to easily ensure that their descendants are alive.
Selects hexadecimal (%h) format
Provide info based on the hierarchical context
Whether to provide the requested information from a hierarchical context.
Report is issued if configured verbosity is set to UVM_HIGH or above.
The port provides the interface that is its type parameter, and it is bound to the component that implements the interface.
class uvm_in_order_built_in_comparator #(
    type  T  =  int
) extends uvm_in_order_comparator #(T)
This class uses the uvm_built_in_* comparison, converter, and pair classes.
class uvm_in_order_class_comparator #(
    type  T  =  int
) extends uvm_in_order_comparator #( T , uvm_class_comp #( T ) , uvm_class_converter #( T ) , uvm_class_pair #( T, T ) )
This class uses the uvm_class_* comparison, converter, and pair classes.
Compares two streams of data objects of the type parameter, T.
Informative message.
The integral type is used as a argument type for passing integral values of 64 bits or less in such methods as uvm_printer::print_field_int, uvm_recorder::record_field_int, uvm_packer::pack_field_int and uvm_packer::unpack_field_int.
function bit uvm_is_match (
    string  expr,
    string  str
)
Returns 1 if the two strings match, 0 otherwise.
Operation completed successfully
class uvm_line_printer extends uvm_tree_printer
The line printer prints output in a line format.
virtual class uvm_link_base extends uvm_object
The uvm_link_base class presents a simple API for defining a link between any two objects.
Least-significant bytes first in consecutive addresses
Least-significant bytes first at the same address
Sends the report to the file(s) for this (severity,id) pair
Report is issued if configured verbosity is set to UVM_LOW or above.
less than
less than or equal to
class uvm_main_phase extends uvm_task_phase
Primary test stimulus.
Defines the MAJOR revision number.
Indicates that the MAJOR version of this release is ‘1’.
Report is issued if configured verbosity is set to UVM_MEDIUM or above.
class uvm_mem extends uvm_object
Memory abstraction base class
Memory location
class uvm_mem_access_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the accessibility of all memories in a block by executing the uvm_mem_single_access_seq sequence on every memory within it.
Convenience callback type declaration for memories
Convenience callback iterator type declaration for memories
class uvm_mem_mam
Memory allocation manager
class uvm_mem_mam_cfg
Specifies the memory managed by an instance of a uvm_mem_mam memory allocation manager class.
class uvm_mem_mam_policy
An instance of this class is randomized to determine the starting offset of a randomly allocated memory region.
class uvm_mem_region
Allocated memory region descriptor
class uvm_mem_shared_access_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the accessibility of a shared memory by writing through each address map then reading it via every other address maps in which the memory is readable and the backdoor, making sure that the resulting value matches the written value.
class uvm_mem_single_access_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the accessibility of a memory by writing through its default address map then reading it via the backdoor, then reversing the process, making sure that the resulting value matches the written value.
class uvm_mem_single_walk_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Runs the walking-ones algorithm on the memory given by the mem property, which must be assigned prior to starting this sequence.
class uvm_mem_walk_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verifies the all memories in a block by executing the uvm_mem_single_walk_seq sequence on every memory within it.
Defines the MINOR revision number.
Indicates that the MINOR version of this release is ‘2’.
virtual class uvm_monitor extends uvm_component
This class should be used as the base class for user-defined monitors.
The name used by the library when displaying the name of the library.
not equal
No action is taken
Read only
None
Byte ordering not applicable
Provide info from the local context
Report is always printed.
Operation completed with error
virtual class uvm_object extends uvm_void
The uvm_object class is the base class for all UVM data and hierarchical classes.
class uvm_object_registry #(
    type  T  =  uvm_object,
    string  Tname  =  "<unknown>"
) extends uvm_object_wrapper
The uvm_object_registry serves as a lightweight proxy for a uvm_object of type T and type name Tname, a string.
class uvm_object_string_pool #(
    type  T  =  uvm_object
) extends uvm_pool #(string,T)
This provides a specialization of the generic uvm_pool #(KEY,T) class for an associative array of uvm_object-based objects indexed by string.
virtual class uvm_object_wrapper
The uvm_object_wrapper provides an abstract interface for creating object and component proxies.
class uvm_objection extends uvm_report_object
Objections provide a facility for coordinating status information between two or more participating components, objects, and even module-based IP.
class uvm_objection_callback extends uvm_callback
The uvm_objection is the callback type that defines the callback implementations for an objection callback.
Enumerated the possible objection events one could wait on.
Selects octal (%o) format
The uvm_packer class provides a policy object for packing and unpacking uvm_objects.
This section defines container classes for handling value pairs.
class uvm_parent_child_link extends uvm_link_base
The uvm_parent_child_link is used to represent a Parent/Child relationship between two objects.
“Passive” mode
Path used for register operation
class uvm_phase extends uvm_object
This base class defines everything about a phase: behavior, state, and context.
class uvm_phase_cb extends uvm_callback
This class defines a callback method that is invoked by the phaser during the execution of a specific node in the phase graph or all phase nodes.
typedef uvm_callbacks#(
    uvm_phase,
    uvm_phase_cb
) uvm_phase_cb_pool
Convenience type for the uvm_callbacks#(uvm_phase, uvm_phase_cb) class.
all processes related to phase are being killed
This object represents an entire graph segment that executes in parallel with the ‘run’ phase.
A phase is done after it terminated execution.
The schedule is not currently operating on the phase node, however it will be scheduled at some point in the future.
phase completed execution, now running phase_ended() callback
An executing phase is one where the phase callbacks are being executed.
The phase object is used to traverse the component hierarchy and call the component phase method as well as the phase_started and phase_ended callbacks.
all processes related to phase are being killed and all predecessors are forced into the DONE state.
The object represents a simple node instance in the graph.
no objections remain in this phase or in any predecessors of its successors or in any sync’d phases.
The object represents a portion of the phasing graph, typically consisting of several NODE types, in series, parallel, or both.
At least one immediate predecessor has completed.
phase ready to execute, running phase_started() callback
The set of possible states of a phase.
class uvm_phase_state_change extends uvm_object
Phase state transition descriptor.
All predecessors complete, checking that all synced phases (e.g.
This internal object serves as the termination NODE for a SCHEDULE phase object.
This is an attribute of a uvm_phase object which defines the phase type.
The state is uninitialized.
class uvm_pool #(
    type  KEY  =  int,
      T  =  uvm_void
) extends uvm_object
Implements a class-based dynamic associative array.
The port requires the interface that is its type parameter.
virtual class uvm_port_base #(
    type  IF  =  uvm_void
) extends IF
Transaction-level communication between components is handled via its ports, exports, and imps, all of which derive from this class.
class uvm_port_component #(
    type  PORT  =  uvm_object
) extends uvm_port_component_base
See description of uvm_port_component_base for information about this class
virtual class uvm_port_component_base extends uvm_component
This class defines an interface for obtaining a port’s connectivity lists after or during the end_of_elaboration phase.
Specifies the type of port
The sequence is started and the uvm_sequence_base::post_body() task is being executed.
class uvm_post_configure_phase extends uvm_task_phase
After the SW has configured the DUT.
class uvm_post_main_phase extends uvm_task_phase
After enough of the primary test stimulus.
class uvm_post_reset_phase extends uvm_task_phase
After reset is de-asserted.
class uvm_post_shutdown_phase extends uvm_task_phase
After things have settled down.
The sequence is started and the uvm_sequence_base::post_start() task is being executed.
Indicates that this version of the UVM came after the 1.1 versions, including the various 1.1 fix revisions.
The sequence is started and the uvm_sequence_base::pre_body() task is being executed.
class uvm_pre_configure_phase extends uvm_task_phase
Before the DUT is configured by the SW.
class uvm_pre_main_phase extends uvm_task_phase
Before the primary test stimulus starts.
class uvm_pre_reset_phase extends uvm_task_phase
Before reset is asserted.
class uvm_pre_shutdown_phase extends uvm_task_phase
Before things settle down.
The sequence is started and the uvm_sequence_base::pre_start() task is being executed.
Operation derived from observations by a bus monitor via the uvm_reg_predictor class.
Predicted value is as-is
How the mirror is to be updated
Predict based on the specified value having been read
Predict based on the specified value having been written
virtual class uvm_printer
The uvm_printer class provides an interface for printing uvm_objects in various formats.
class uvm_printer_knobs
The uvm_printer_knobs class defines the printer settings available to all printer subtypes.
function void uvm_process_report_message(
    uvm_report_message  report_message
)
This method, defined in package scope, is a convenience function that delegate to the corresponding component method in uvm_top.
virtual function void uvm_process_report_message(
    uvm_report_message  report_message
)
This method takes a preformed uvm_report_message, populates it with the report object and passes it to the report handler for processing.
class uvm_push_driver #(
    type  REQ  =  uvm_sequence_item,
    type  RSP  =  REQ
) extends uvm_component
Base class for a driver that passively receives transactions, i.e.
class uvm_push_sequencer #(
    type  REQ  =  uvm_sequence_item,
      RSP  =  REQ
) extends uvm_sequencer_param_base #(REQ, RSP)
class uvm_queue #(
    type  T  =  int
) extends uvm_object
Implements a class-based dynamic queue.
Specifies the radix to print or record in.
an objection was raised
class uvm_random_stimulus #(
    type  T  =  uvm_transaction
) extends uvm_component
A general purpose unidirectional random stimulus class.
Read operation
Selects real (%g) in exponential or decimal format, whichever format results in the shorter printed output
Selects real (%f) in decimal format
Selects real (%e) in exponential format
virtual class uvm_recorder extends uvm_object
Abstract class which defines the recorder API.
Specifies the policy for copying objects.
Only object handles are copied.
virtual class uvm_reg extends uvm_object
Register abstraction base class
Register
class uvm_reg_access_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the accessibility of all registers in a block by executing the uvm_reg_single_access_seq sequence on every register within it.
virtual class uvm_reg_adapter extends uvm_object
This class defines an interface for converting between uvm_reg_bus_op and a specific bus transaction.
4-state address value with `UVM_REG_ADDR_WIDTH bits
2-state address value with `UVM_REG_ADDR_WIDTH bits
class uvm_reg_backdoor extends uvm_object
Base class for user-defined back-door register and memory access.
Convenience callback type declaration for backdoor
Convenience callback iterator type declaration for backdoor
class uvm_reg_bit_bash_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the implementation of all registers in a block by executing the uvm_reg_single_bit_bash_seq sequence on it.
virtual class uvm_reg_block extends uvm_object
Block abstraction base class
Struct that defines a generic bus transaction for register and memory accesses, having kind (read or write), address, data, and byte enable information.
2-state byte_enable value with `UVM_REG_BYTENABLE_WIDTH bits
Convenience callback type declaration for registers
Convenience callback iterator type declaration for registers
virtual class uvm_reg_cbs extends uvm_callback
Facade class for field, register, memory and backdoor access callback methods.
Coverage model value set with `UVM_REG_CVR_WIDTH bits.
4-state data value with `UVM_REG_DATA_WIDTH bits
2-state data value with `UVM_REG_DATA_WIDTH bits
class uvm_reg_field extends uvm_object
Field abstraction class
Convenience callback type declaration for fields
Convenience callback iterator type declaration for fields
class uvm_reg_fifo extends uvm_reg
This special register models a DUT FIFO accessed via write/read, where writes push to the FIFO and reads pop from it.
virtual class uvm_reg_file extends uvm_object
Register file abstraction base class
virtual class uvm_reg_frontdoor extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_sequence_item)
)
Facade class for register and memory frontdoor access.
class uvm_reg_hw_reset_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Test the hard reset values of registers
class uvm_reg_indirect_data extends uvm_reg
Indirect data access abstraction class
class uvm_reg_item extends uvm_sequence_item
Defines an abstract register transaction item.
class uvm_reg_map extends uvm_object
class uvm_reg_mem_access_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the accessibility of all registers and memories in a block by executing the uvm_reg_access_seq and uvm_mem_access_seq sequence respectively on every register and memory within it.
class uvm_reg_mem_built_in_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Sequence that executes a user-defined selection of pre-defined register and memory test sequences.
class uvm_reg_mem_hdl_paths_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the correctness of HDL paths specified for registers and memories.
class uvm_reg_mem_shared_access_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the accessibility of all shared registers and memories in a block by executing the uvm_reg_shared_access_seq and uvm_mem_shared_access_seq sequence respectively on every register and memory within it.
Select which pre-defined test sequence to execute.
class uvm_reg_predictor #(
    type  BUSTYPE  =  int
) extends uvm_component
Updates the register model mirror based on observed bus transactions
class uvm_reg_read_only_cbs extends uvm_reg_cbs
Pre-defined register callback method for read-only registers that will issue an error if a write() operation is attempted.
class uvm_reg_sequence #(
    type  BASE  =  uvm_sequence #(uvm_reg_item)
) extends BASE
This class provides base functionality for both user-defined RegModel test sequences and “register translation sequences”.
class uvm_reg_shared_access_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the accessibility of a shared register by writing through each address map then reading it via every other address maps in which the register is readable and the backdoor, making sure that the resulting value matches the mirrored value.
class uvm_reg_single_access_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the accessibility of a register by writing through its default address map then reading it via the backdoor, then reversing the process, making sure that the resulting value matches the mirrored value.
class uvm_reg_single_bit_bash_seq extends uvm_reg_sequence #(
    uvm_sequence  #(uvm_reg_item)
)
Verify the implementation of a single register by attempting to write 1’s and 0’s to every bit in it, via every address map in which the register is mapped, making sure that the resulting value matches the mirrored value.
class uvm_reg_tlm_adapter extends uvm_reg_adapter
For converting between uvm_reg_bus_op and uvm_tlm_gp items.
virtual class uvm_reg_transaction_order_policy extends uvm_object
class uvm_reg_write_only_cbs extends uvm_reg_cbs
Pre-defined register callback method for write-only registers that will issue an error if a read() operation is attempted.
class uvm_related_link extends uvm_link_base
The uvm_related_link is used to represent a generic “is related” link between two objects.
function void uvm_report(
    uvm_severity  severity,   
    string  id,   
    string  message,   
    int  verbosity  =  (severity == uvm_severity'(UVM_ERROR)) ? UVM_LOW : (severity == uvm_severity'(UVM_FATAL)) ? UVM_NONE : UVM_MEDIUM,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
protected function void uvm_report(
    uvm_severity  severity,   
    string  id,   
    string  message,   
    int  verbosity,   
    string  fname  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
Issues a message using the current message’s report object.
virtual function void uvm_report(
    uvm_severity  severity,   
    string  id,   
    string  message,   
    int  verbosity  =  (severity == uvm_severity'(UVM_ERROR)) ? UVM_LOW : (severity == uvm_severity'(UVM_FATAL)) ? UVM_NONE : UVM_MEDIUM,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
virtual function void uvm_report(
    uvm_severity  severity,   
    string  id,   
    string  message,   
    int  verbosity  =  (severity == uvm_severity'(UVM_ERROR)) ? UVM_LOW : (severity == uvm_severity'(UVM_FATAL)) ? UVM_NONE : UVM_MEDIUM,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
virtual class uvm_report_catcher extends uvm_callback
The uvm_report_catcher is used to catch messages issued by the uvm report server.
function int uvm_report_enabled (
    int  verbosity,   
    uvm_severity  severity  =  UVM_INFO,
    string  id  =  ""
)
Returns 1 if the configured verbosity in uvm_top for this severity/id is greater than or equal to verbosity else returns 0.
function int uvm_report_enabled(
    int  verbosity,   
    uvm_severity  severity  =  UVM_INFO,
    string  id  =  ""
)
Returns 1 if the configured verbosity for this severity/id is greater than or equal to verbosity else returns 0.
function void uvm_report_error(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_LOW,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
protected function void uvm_report_error(
    string  id,   
    string  message,   
    int  verbosity,   
    string  fname  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
Issues an error message using the current message’s report object.
virtual function void uvm_report_error(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_LOW,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
virtual function void uvm_report_error(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_LOW,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
function void uvm_report_fatal(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_NONE,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
These methods, defined in package scope, are convenience functions that delegate to the corresponding component methods in uvm_top.
protected function void uvm_report_fatal(
    string  id,   
    string  message,   
    int  verbosity,   
    string  fname  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
Issues a fatal message using the current message’s report object.
virtual function void uvm_report_fatal(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_NONE,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
These are the primary reporting methods in the UVM.
virtual function void uvm_report_fatal(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_NONE,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
These are the primary reporting methods in the UVM.
class uvm_report_handler extends uvm_object
The uvm_report_handler is the class to which most methods in uvm_report_object delegate.
function void uvm_report_info(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_MEDIUM,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
protected function void uvm_report_info(
    string  id,   
    string  message,   
    int  verbosity,   
    string  fname  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
Issues a info message using the current message’s report object.
virtual function void uvm_report_info(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_MEDIUM,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
virtual function void uvm_report_info(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_MEDIUM,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
class uvm_report_message extends uvm_object
The uvm_report_message is the basic UVM object message class.
virtual class uvm_report_message_element_base
Base class for report message element.
class uvm_report_message_element_container extends uvm_object
A container used by report message to contain the dynamically added elements, with APIs to add and delete the elements.
class uvm_report_message_int_element extends uvm_report_message_element_base
Message element class for integral type
class uvm_report_message_object_element extends uvm_report_message_element_base
Message element class for object type
class uvm_report_message_string_element extends uvm_report_message_element_base
Message element class for string type
class uvm_report_object extends uvm_object
The uvm_report_object provides an interface to the UVM reporting facility.
class uvm_report_phase extends uvm_bottomup_phase
Report results of the test.
uvm_report_server is a global server that processes all of the reports generated by a uvm_report_handler.
function void uvm_report_warning(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_MEDIUM,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
protected function void uvm_report_warning(
    string  id,   
    string  message,   
    int  verbosity,   
    string  fname  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
Issues a warning message using the current message’s report object.
virtual function void uvm_report_warning(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_MEDIUM,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
virtual function void uvm_report_warning(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_MEDIUM,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
class uvm_reset_phase extends uvm_task_phase
Reset is asserted.
class uvm_resource #(
    type  T  =  int
) extends uvm_resource_base
Parameterized resource.
virtual class uvm_resource_base extends uvm_object
Non-parameterized base class for resources.
class uvm_resource_db #(
    type  T  =  uvm_object
)
All of the functions in uvm_resource_db#(T) are static, so they must be called using the :: operator.
Provides a namespace for managing options for the resources DB facility.
Provides a namespace for managing options for the resources facility.
class uvm_resource_pool
The global (singleton) resource database.
class uvm_resource_types
Provides typedefs and enums used throughout the resources facility.
Sends the report to the recorder
The uvm_root class serves as the implicit top-level and phase controller for all UVM components.
class uvm_run_phase extends uvm_task_phase
Stimulate the DUT.
virtual class uvm_scoreboard extends uvm_component
The uvm_scoreboard virtual class should be used as the base class for user-defined scoreboards.
Requests are granted in FIFO order (default)
Requests are granted randomly
Requests at highest priority granted in fifo order
Requests at highest priority granted in randomly
Arbitration is delegated to the user-defined function, user_priority_arbitration.
Requests are granted randomly by weight
class uvm_seq_item_pull_export #(
    type  REQ  =  int,
    type  RSP  =  REQ
) extends uvm_port_base #(uvm_sqr_if_base #(REQ, RSP))
This export type is used in sequencer-driver communication.
class uvm_seq_item_pull_imp #(
    type  REQ  =  int,
    type  RSP  =  REQ,
    type  IMP  =  int
) extends uvm_port_base #(uvm_sqr_if_base #(REQ, RSP))
This imp type is used in sequencer-driver communication.
class uvm_seq_item_pull_port #(
    type  REQ  =  int,
    type  RSP  =  REQ
) extends uvm_port_base #(uvm_sqr_if_base #(REQ, RSP))
UVM provides a port, export, and imp connector for use in sequencer-driver communication.
Emit only items, no sequence execution
Random sequence selection
Random cyclic sequence selection
Apply a user-defined random-selection algorithm
virtual class uvm_sequence #(
    type  REQ  =  uvm_sequence_item,
    type  RSP  =  REQ
) extends uvm_sequence_base
The uvm_sequence class provides the interfaces necessary in order to create streams of sequence items and/or other sequences.
class uvm_sequence_base extends uvm_sequence_item
The uvm_sequence_base class provides the interfaces needed to create streams of sequence items and/or other sequences.
class uvm_sequence_item extends uvm_transaction
The base class for user-defined sequence items and also the base class for the uvm_sequence class.
Specifies the random selection mode of a sequence library
class uvm_sequence_library #(
    type  REQ  =  uvm_sequence_item,
      RSP  =  REQ
) extends uvm_sequence #(REQ,RSP)
The uvm_sequence_library is a sequence that contains a list of registered sequence types.
class uvm_sequence_library_cfg extends uvm_object
A convenient container class for configuring all the sequence library parameters using a single set command.
All subtypes of this class must invoke the `uvm_sequence_library_utils macro.
Defines current sequence state
class uvm_sequencer #(
    type  REQ  =  uvm_sequence_item,
      RSP  =  REQ
) extends uvm_sequencer_param_base #(REQ, RSP)
Specifies a sequencer’s arbitration mode
class uvm_sequencer_base extends uvm_component
Controls the flow of sequences, which generate the stimulus (sequence item transactions) that is passed on to drivers for execution.
class uvm_sequencer_param_base #(
    type  REQ  =  uvm_sequence_item,
    type  RSP  =  REQ
) extends uvm_sequencer_base
Extends uvm_sequencer_base with an API depending on specific request (REQ) and response (RSP) types.
class uvm_set_before_get_dap#(
    type  T  =  int
) extends uvm_set_get_dap_base#(T)
Provides a ‘Set Before Get’ Data Access Policy.
virtual class uvm_set_get_dap_base#(
    type  T  =  int
) extends uvm_object
Provides the ‘set’ and ‘get’ interface for Data Access Policies (DAPs)
Defines all possible values for report severity.
Objects are shallow copied using default SV copy.
class uvm_shutdown_phase extends uvm_task_phase
Letting things settle down.
class uvm_simple_lock_dap#(
    type  T  =  int
) extends uvm_set_get_dap_base#(T)
Provides a ‘Simple Lock’ Data Access Policy.
function automatic void uvm_split_string (
    string  str,
    byte  sep,
    ref  string  values[$]
)
Returns a queue of strings, values, that is the result of the str split based on the sep.
virtual class uvm_sqr_if_base #(
    type  T1  =  uvm_object,
      T2  =  T1
)
This class defines an interface for sequence drivers to communicate with sequencers.
class uvm_start_of_simulation_phase extends uvm_bottomup_phase
Get ready for DUT to be simulated.
Return status for register operations
Causes $stop to be executed, putting the simulation into interactive mode.
The sequence has been forcibly ended by issuing a uvm_sequence_base::kill() on the sequence.
Selects string (%s) format
function logic[UVM_LARGE_STRING:0] uvm_string_to_bits(
    string  str
)
Converts an input string to its bit-vector equivalent.
The uvm_structure_proxy is a wrapper and provides a set of elements of the STRUCTURE to the caller on demand.
virtual class uvm_subscriber #(
    type  T  =  int
) extends uvm_component
This class provides an analysis export for receiving transactions from a connected analysis export.
class uvm_table_printer extends uvm_printer
The table printer prints output in a tabular format.
virtual class uvm_task_phase extends uvm_phase
Base class for all task phases.
virtual class uvm_test extends uvm_component
This class is the virtual base class for the user-defined tests.
class uvm_text_recorder extends uvm_recorder
The uvm_text_recorder is the default recorder implementation for the uvm_text_tr_database.
class uvm_text_tr_database extends uvm_tr_database
The uvm_text_tr_database is the default implementation for the uvm_tr_database.
class uvm_text_tr_stream extends uvm_tr_stream
The uvm_text_tr_stream is the default stream implementation for the uvm_text_tr_database.
Selects time (%t) format
Transaction has been accepted
Invalid address specified
An analysis_fifo is a uvm_tlm_fifo#(T) with an unbounded size and a write interface.
class uvm_tlm_b_initiator_socket #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_tlm_b_initiator_socket_base #(T)
IS-A forward port; has no backward path except via the payload contents
class uvm_tlm_b_initiator_socket_base #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_port_base #(uvm_tlm_if #(T))
IS-A forward port; has no backward path except via the payload contents
class uvm_tlm_b_passthrough_initiator_socket #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_tlm_b_passthrough_initiator_socket_base #(T)
IS-A forward port;
class uvm_tlm_b_passthrough_initiator_socket_base #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_port_base #(uvm_tlm_if #(T))
IS-A forward port
class uvm_tlm_b_passthrough_target_socket #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_tlm_b_passthrough_target_socket_base #(T)
IS-A forward export;
class uvm_tlm_b_passthrough_target_socket_base #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_port_base #(uvm_tlm_if #(T))
IS-A forward export
class uvm_tlm_b_target_socket #(
    type  IMP  =  int,
    type  T  =  uvm_tlm_generic_payload
) extends uvm_tlm_b_target_socket_base #(T)
IS-A forward imp; has no backward path except via the payload contents.
class uvm_tlm_b_target_socket_base #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_port_base #(uvm_tlm_if #(T))
IS-A forward imp; has no backward path except via the payload contents.
class uvm_tlm_b_transport_export #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_port_base #(uvm_tlm_if #(T))
Blocking transport export class.
class uvm_tlm_b_transport_imp #(
    type  T  =  uvm_tlm_generic_payload,
    type  IMP  =  int
) extends uvm_port_base #(uvm_tlm_if #(T))
Used like exports, except an additional class parameter specifies the type of the implementation object.
class uvm_tlm_b_transport_port #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_port_base #(uvm_tlm_if #(T))
Class providing the blocking transport port.
Invalid burst specified
Invalid byte enabling specified
Command attribute type definition
Invalid command specified
Execution of transaction is complete
class uvm_tlm_extension #(
    type  T  =  int
) extends uvm_tlm_extension_base
TLM extension class.
virtual class uvm_tlm_extension_base extends uvm_object
The class uvm_tlm_extension_base is the non-parameterized base class for all generic payload extensions.
This class provides storage of transactions between two independently running processes.
virtual class uvm_tlm_fifo_base #(
    type  T  =  int
) extends uvm_component
This class is the base for uvm_tlm_fifo#(T).
Bus operation had an error
class uvm_tlm_generic_payload extends uvm_sequence_item
This class provides a transaction definition commonly used in memory-mapped bus-based systems.
typedef uvm_tlm_generic_payload uvm_tlm_gp
This typedef provides a short, more convenient name for the uvm_tlm_generic_payload type.
class uvm_tlm_if #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
)
Base class type to define the transport functions.
virtual class uvm_tlm_if_base #(
    type  T1  =  int,
    type  T2  =  int
)
This class declares all of the methods of the TLM API.
No bus operation.
Transaction was not delivered to target
class uvm_tlm_nb_initiator_socket #(
    type  IMP  =  int,
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_tlm_nb_initiator_socket_base #(T,P)
IS-A forward port; HAS-A backward imp
class uvm_tlm_nb_initiator_socket_base #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
IS-A forward port; HAS-A backward imp
class uvm_tlm_nb_passthrough_initiator_socket #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_tlm_nb_passthrough_initiator_socket_base #(T,P)
IS-A forward port; HAS-A backward export
class uvm_tlm_nb_passthrough_initiator_socket_base #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
IS-A forward port; HAS-A backward export
class uvm_tlm_nb_passthrough_target_socket #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_tlm_nb_passthrough_target_socket_base #(T,P)
IS-A forward export; HAS-A backward port
class uvm_tlm_nb_passthrough_target_socket_base #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
IS-A forward export; HAS-A backward port
class uvm_tlm_nb_target_socket #(
    type  IMP  =  int,
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_tlm_nb_target_socket_base #(T,P)
IS-A forward imp; HAS-A backward port
class uvm_tlm_nb_target_socket_base #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
IS-A forward imp; HAS-A backward port
class uvm_tlm_nb_transport_bw_export #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
Non-blocking backward transport export class
class uvm_tlm_nb_transport_bw_imp #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e,
    type  IMP  =  int
) extends uvm_port_base #(uvm_tlm_if #(T,P))
Used like exports, except an additional class parameter specifies the type of the implementation object.
class uvm_tlm_nb_transport_bw_port #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
Class providing the non-blocking backward transport port.
class uvm_tlm_nb_transport_fw_export #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
Non-blocking forward transport export class
class uvm_tlm_nb_transport_fw_imp #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e,
    type  IMP  =  int
) extends uvm_port_base #(uvm_tlm_if #(T,P))
Used like exports, except an additional class parameter specifies the type of the implementation object.
class uvm_tlm_nb_transport_fw_port #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
Class providing the non-blocking backward transport port.
Bus operation completed successfully
Nonblocking transport synchronization state values between an initiator and a target.
Bus read operation
class uvm_tlm_req_rsp_channel #(
    type  REQ  =  int,
    type  RSP  =  REQ
) extends uvm_component
The uvm_tlm_req_rsp_channel contains a request FIFO of type REQ and a response FIFO of type RSP.
Response status attribute type definition
Pre-defined phase state values for the nonblocking transport Base Protocol between an initiator and a target.
class uvm_tlm_time
Canonical time type that can be used in different timescales
class uvm_tlm_transport_channel #(
    type  REQ  =  int,
    type  RSP  =  REQ
) extends uvm_tlm_req_rsp_channel #(REQ, RSP)
A uvm_tlm_transport_channel is a uvm_tlm_req_rsp_channel #(REQ,RSP) that implements the transport interface.
Transaction has been modified
Bus write operation
const uvm_root uvm_top = uvm_root::get()
This is the top-level that governs phase execution and provides component search interface.
class uvm_top_down_visitor_adapter#(
    type  STRUCTURE  =  uvm_component,
      VISITOR  =  uvm_visitor#(STRUCTURE)
) extends uvm_visitor_adapter#(STRUCTURE,VISITOR)
This uvm_top_down_visitor_adapter traverses the STRUCTURE s (and will invoke the visitor) in a hierarchical fashion.
virtual class uvm_topdown_phase extends uvm_phase
Virtual base class for function phases that operate top-down.
virtual class uvm_tr_database extends uvm_object
The uvm_tr_database class is intended to hide the underlying database implementation from the end user, as these details are often vendor or tool-specific.
virtual class uvm_tr_stream extends uvm_object
The uvm_tr_stream base class is a representation of a stream of records within a uvm_tr_database.
virtual class uvm_transaction extends uvm_object
The uvm_transaction class is the root base class for UVM transactions.
class uvm_tree_printer extends uvm_printer
By overriding various methods of the uvm_printer super class, the tree printer prints output in a tree format.
Selects unformatted 2 value data (%u) format
Selects unformatted 4 value data (%z) format
Selects unsigned decimal (%u) format
class uvm_utils #(
    type  TYPE  =  int,
    string  FIELD  =  "config"
)
This class contains useful template functions.
Defines standard verbosity levels for reports.
Indicates that the version of this release is ‘1.2’.
Provides a string-ized version of the UVM Library version number.
The uvm_visitor class provides an abstract base class for a visitor.
The visitor adaptor traverses all nodes of the STRUCTURE and will invoke visitor.visit() on every node.
virtual class uvm_void
The uvm_void class is the base class for all UVM classes.
class uvm_vreg extends uvm_object
Virtual register abstraction base class
Convenience callback type declaration
Convenience callback iterator type declaration
class uvm_vreg_cbs extends uvm_callback
Pre/post read/write callback facade class
class uvm_vreg_field extends uvm_object
Virtual field abstraction class
Convenience callback type declaration
Convenience callback iterator type declaration
class uvm_vreg_field_cbs extends uvm_callback
Pre/post read/write callback facade class
task uvm_wait_for_nba_region
Callers of this task will not return until the NBA region, thus allowing other processes any number of delta cycles (#0) to settle out before continuing.
Specifies the operand when using methods like uvm_phase::wait_for_state.
Indicates a potential problem.
Write operation