Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
H
 has_child
 has_coverage
 has_do_available
 has_hdl_path
 has_lock
 has_reset
 HDL Access
 HDL Paths Checking Test Sequence
 header
 hex_radix
 Hierarchical Reporting Interface
 Hierarchy Interface
I
 ID
 id_count
 Identification
 identifier
 IMP binding classes
 IMP binding macros
 implement
 in_use
 include_coverage
 incr
 incr_id_count
 incr_quit_count
 incr_severity_count
 indent
 init_access_record
 initialization
 Initialization
 insert
 Interface Masks
 Intro
 Introspection
 is
 is_active
 is_after
 is_auditing
 is_auto_updated
 is_before
 is_blocked
 is_busy
 is_child
 is_dmi_allowed
 is_empty
 is_enabled
 is_export
 is_full
 is_grabbed
 is_hdl_path_root
 is_imp
 is_in_map
 is_indv_accessible
 is_item
 is_known_access
 is_locked
 is_null
 is_off
 is_on
 is_port
 is_quit_count_reached
 is_read
 is_read_only
 is_recording_enabled
 is_relevant
 is_response_error
 is_response_ok
 is_tracing
 is_unbounded
 is_volatile
 is_write
 issue
 item_done
 Iterator Interface
J
 jump
 jump_all
 Jumping
K
 kill
 kind
 knobs
L
 last
 last_req
 last_rsp
 len
 lineno
 local_map
 locality
 locality_e
 lock
 lock_model
 lookup
 Lookup
 lookup_name
 lookup_regex
 lookup_regex_names
 lookup_scope
 lookup_type
M
 m_address
 m_byte_enable
 m_byte_enable_length
 m_command
 m_data
 m_dmi
 m_length
 m_response_status
 m_set_hier_mode
 m_streaming_width
 Macros
 main_phase
 mam
 Mandatory uvm_object Constructors
 map
 Master and Slave
 master_export
 match_scope
 max_offset
 max_size
 mcd
 mem
 mem_seq
 Memory Access Test Sequence
 Memory Allocation Manager
 Memory Management
 Memory Walking-Ones Test Sequences
 Methods
Globaluvm_*_export#(REQ,RSP)uvm_*_export#(T)uvm_*_imp#(REQ,RSP,IMP,REQ_IMP,RSP_IMP)uvm_*_imp#(T,IMP)uvm_*_port#(REQ,RSP)uvm_*_port#(T)uvm_agentuvm_algorithmic_comparator#(BEFORE,AFTER,TRANSFORMER)uvm_analysis_exportuvm_analysis_portuvm_barrieruvm_bottomup_phaseuvm_built_in_pair#(T1,T2)uvm_callbackuvm_callback_iteruvm_callbacks_objectionuvm_class_pair#(T1,T2)uvm_compareruvm_component_registry#(T,Tname)uvm_config_dbuvm_config_db_optionsuvm_domainuvm_driver#(REQ,RSP)uvm_envuvm_eventuvm_event_callbackuvm_hdl_path_concatuvm_heartbeatuvm_in_order_comparator#(T,comp_type,convert,pair_type)uvm_mem_access_sequvm_mem_regionuvm_mem_single_walk_sequvm_mem_walk_sequvm_monitoruvm_object_string_pool#(T)uvm_object_wrapperuvm_objection_callbackuvm_pool#(KEY,T)uvm_port_base#(IF)uvm_port_component#(PORT)uvm_port_component_baseuvm_printer_knobsuvm_push_driver#(REQ,RSP)uvm_push_sequencer#(REQ,RSP)uvm_queue#(T)uvm_random_stimulus#(T)uvm_recorderuvm_reg_access_sequvm_reg_backdooruvm_reg_bit_bash_sequvm_reg_cbsuvm_reg_frontdooruvm_reg_hw_reset_sequvm_reg_indirect_datauvm_reg_itemuvm_reg_mem_built_in_sequvm_reg_mem_shared_access_sequvm_reg_predictoruvm_reg_read_only_cbsuvm_reg_tlm_adapteruvm_reg_write_only_cbsuvm_report_handleruvm_report_serveruvm_resource_dbuvm_resource_db_optionsuvm_resource_optionsuvm_rootuvm_scoreboarduvm_seq_item_pull_imp#(REQ,RSP,IMP)uvm_sequence#(REQ,RSP)uvm_sequencer#(REQ,RSP)uvm_sequencer_baseuvm_sqr_if_base#(REQ,RSP)uvm_subscriberuvm_table_printeruvm_task_phaseuvm_testuvm_tlm_analysis_fifouvm_tlm_b_initiator_socketuvm_tlm_b_target_socketuvm_tlm_extensionuvm_tlm_extension_baseuvm_tlm_fifouvm_tlm_fifo_base#(T)uvm_tlm_nb_initiator_socketuvm_tlm_nb_passthrough_target_socketuvm_tlm_nb_target_socketuvm_tlm_nb_transport_bw_exportuvm_tlm_nb_transport_bw_portuvm_tlm_req_rsp_channel#(REQ,RSP)uvm_tlm_transport_channel#(REQ,RSP)uvm_topdown_phaseuvm_transactionuvm_tree_printeruvm_utilsuvm_vreg_cbsuvm_vreg_field_cbs
 Methods for printer subtyping
 Methods for printer usage
 mid_do
 min_offset
 min_size
 mirror
 mirror_reg
 Miscellaneous
 miscompares
 mode
 model
 Modifying the offset of a memory will make the abstract model
N
 n_bits
 n_bytes
 nb_transport
 nb_transport_bw
 nb_transport_fw
 needs_update
 new
uvm_*_export#(REQ,RSP)uvm_*_export#(T)uvm_*_imp#(REQ,RSP,IMP,REQ_IMP,RSP_IMP)uvm_*_imp#(T,IMP)uvm_*_port#(REQ,RSP)uvm_*_port#(T)uvm_agentuvm_algorithmic_comparator#(BEFORE,AFTER,TRANSFORMER)uvm_analysis_exportuvm_barrieruvm_bottomup_phaseuvm_built_in_pair#(T1,T2)uvm_callbackuvm_callback_iteruvm_class_pair#(T1,T2)uvm_componentuvm_domainuvm_driver#(REQ,RSP)uvm_envuvm_eventuvm_event_callbackuvm_heartbeatuvm_line_printeruvm_memuvm_mem_mamuvm_mem_single_walk_sequvm_monitoruvm_objectuvm_object_string_pool#(T)uvm_objectionuvm_phaseuvm_pool#(KEY,T)uvm_port_base#(IF)uvm_push_driver#(REQ,RSP)uvm_push_sequencer#(REQ,RSP)uvm_queue#(T)uvm_random_stimulus#(T)uvm_reguvm_reg_adapteruvm_reg_backdooruvm_reg_blockuvm_reg_fielduvm_reg_fifouvm_reg_fileuvm_reg_frontdooruvm_reg_indirect_datauvm_reg_itemuvm_reg_mapuvm_reg_predictoruvm_reg_sequenceuvm_report_catcheruvm_report_handleruvm_report_objectuvm_report_serveruvm_resource_baseuvm_scoreboarduvm_seq_item_pull_imp#(REQ,RSP,IMP)uvm_sequence#(REQ,RSP)uvm_sequence_baseuvm_sequence_itemuvm_sequencer#(REQ,RSP)uvm_sequencer_baseuvm_sequencer_param_base#(REQ,RSP)uvm_subscriberuvm_table_printeruvm_task_phaseuvm_testuvm_tlm_analysis_fifouvm_tlm_b_initiator_socketuvm_tlm_b_target_socketuvm_tlm_extensionuvm_tlm_extension_baseuvm_tlm_fifouvm_tlm_fifo_base#(T)uvm_tlm_generic_payloaduvm_tlm_nb_initiator_socketuvm_tlm_nb_target_socketuvm_tlm_nb_transport_bw_exportuvm_tlm_nb_transport_bw_portuvm_tlm_req_rsp_channel#(REQ,RSP)uvm_tlm_timeuvm_tlm_transport_channel#(REQ,RSP)uvm_topdown_phaseuvm_transactionuvm_tree_printeruvm_vreguvm_vreg_field
 next
 Non-blocking get
 Non-blocking peek
 Non-blocking put
 Non-blocking transport
 Notification
 num
O
 Objection Control
 Objection Interface
 Objection Mechanism
 Objection Status
 Objections
 oct_radix
 offset
function int has_child ( string  name )
Returns 1 if this component has a child with the given name, 0 otherwise.
virtual function bit has_coverage( uvm_reg_cvr_t  models )
Check if memory has coverage model(s)
virtual function bit has_coverage( uvm_reg_cvr_t  models )
Check if register has coverage model(s)
virtual function bit has_coverage( uvm_reg_cvr_t  models )
Check if block has coverage model(s)
virtual function bit has_do_available()
Returns 1 if any sequence running on this sequencer is ready to supply a transaction, 0 otherwise.
virtual function bit has_do_available()
Indicates whether a sequence item is available for immediate processing.
function bit has_hdl_path ( string  kind  =  "" )
Check if a HDL path is specified
function bit has_hdl_path ( string  kind  =  "" )
Check if a HDL path is specified
function bit has_hdl_path ( string  kind  =  "" )
Check if a HDL path is specified
function bit has_hdl_path ( string  kind  =  "" )
Check if a HDL path is specified
function bit has_lock()
Returns 1 if this sequence has a lock, 0 otherwise.
function bit has_lock( uvm_sequence_base  sequence_ptr )
Returns 1 if the sequence refered to in the parameter currently has a lock on this sequencer, 0 otherwise.
virtual function bit has_reset( string  kind  =  "HARD",
bit  delete  =  0 )
Check if any field in the register has a reset value specified for the specified reset kind.
virtual function bit has_reset( string  kind  =  "HARD",
bit  delete  =  0 )
Check if the field has a reset value specified
bit header = 1
Indicates whether the print_header function should be called when printing an object.
string hex_radix = "'h"
This string should be prepended to the value of an integral type when a radix of UVM_HEX is used for the radix of the integral object.
This interface provides versions of the set_report_* methods in the uvm_report_object base class that are applied recursively to this component and all its children.
These methods provide user access to information about the component hierarchy, i.e., topology.
static function this_type ID()
Return the unique ID of this TLM extension type.
protected int id_count[string]
An associative array holding the number of occurences for each unique report ID.
bit identifier = 1
Indicates whether adjust_name should print the identifier.
bit identifier = 1
This bit is used to specify whether or not an object’s reference should be recorded when the object is recorded.
virtual function bit implement( longint  unsigned  n,   
uvm_mem  mem  =  null,
uvm_reg_addr_t  offset  =  0,
int  unsigned  incr  =  0 )
Dynamically implement, resize or relocate a virtual register array
uvm_mem_region in_use[$]
Regions already allocated in the managed address space
static function void include_coverage( string  scope,   
uvm_reg_cvr_t  models,   
uvm_object  accessor  =  null )
Specify which coverage model that must be included in various block, register or memory abstraction class instances.
function void incr( real  t,   
time  scaled,   
real  secs  =  1.0e-9 )
Increment the time value by the specified number of scaled time unit
function void incr_id_count( string  id )
Set, get, or increment the counter for reports with the given id.
function void incr_quit_count()
function void incr_severity_count( uvm_severity  severity )
int indent = 2
This knob specifies the number of spaces to use for level indentation.
function void init_access_record (
    inout uvm_resource_types:: access_t  access_record
)
Initalize a new access record
virtual function void insert ( int  index,
item )
Inserts the item at the given index in the queue.
Each of the following macros is a mask that identifies which interfaces a particular port requires or export provides.
The uvm_config_db class provides a convenience interface on top of the uvm_resource_db to simplify the basic interface that is used for configuring uvm_component instances.
function bit is( uvm_phase  phase )
returns 1 if the containing uvm_phase refers to the same phase as the phase argument, 0 otherwise
function bit is_active ()
Returns 1 if the transaction has been started but has not yet been ended.
function bit is_after( uvm_phase  phase )
returns 1 if the containing uvm_phase refers to a phase that is later than the phase argument, 0 otherwise
static function bit is_auditing()
Returns 1 if the auditing facility is on and 0 if it is off.
virtual function bit is_auto_updated( uvm_reg_field  field )
Indicates if wait_for_change() method is implemented
function bit is_before( uvm_phase  phase )
Returns 1 if the containing uvm_phase refers to a phase that is earlier than the phase argument, 0 otherwise
function bit is_blocked()
Returns a bit indicating whether this sequence is currently prevented from running due to another lock or grab.
function bit is_blocked( uvm_sequence_base  sequence_ptr )
Returns 1 if the sequence referred to by sequence_ptr is currently locked out of the sequencer.
function bit is_busy()
Returns 1 if register is currently being read or written.
function bit is_child ( uvm_sequence_base  parent,
uvm_sequence_base  child )
Returns 1 if the child sequence is a child of the parent sequence, 0 otherwise.
virtual function bit is_dmi_allowed()
DMI hint.
virtual function bit is_empty()
Returns 1 when there are no entries in the FIFO, 0 otherwise.
function bit is_enabled()
Returns 1 if the callback is enabled, 0 otherwise.
function bit is_export ()
pure virtual function bit is_export()
virtual function bit is_full()
Returns 1 when the number of entries in the FIFO is equal to its size, 0 otherwise.
virtual function bit is_grabbed()
Returns 1 if any sequence currently has a lock or grab on this sequencer, 0 otherwise.
function bit is_hdl_path_root ( string  kind  =  "" )
Check if this block has an absolute path
function bit is_imp ()
Returns 1 if this port is of the type given by the method name, 0 otherwise.
pure virtual function bit is_imp()
These function determine the type of port.
function bit is_in_map ( uvm_reg_map  map )
Return TRUE if this memory is in the specified address map
function bit is_in_map ( uvm_reg_map  map )
Returns 1 if this register is in the specified address map
function bit is_in_map ( uvm_reg_map  map )
Return TRUE if this virtual register array is in the specified address map
function bit is_indv_accessible ( uvm_path_e  path,
uvm_reg_map  local_map )
Check if this field can be written individually, i.e.
virtual function bit is_item()
Returns 1 on items and 0 on sequences.
virtual function bit is_item()
This function may be called on any sequence_item or sequence.
virtual function bit is_known_access( uvm_reg_map  map  =  null )
Check if access policy is a built-in one.
function bit is_locked()
Return TRUE if the model is locked.
virtual function bit is_null ()
This method is used during unpack operations to peek at the next 4-bit chunk of the pack data and determine if it is 0.
virtual function bit is_off ()
Indicates whether the event has been triggered or been reset.
virtual function bit is_on ()
Indicates whether the event has been triggered since it was last reset.
function bit is_port ()
pure virtual function bit is_port()
function bit is_quit_count_reached()
If is_quit_count_reached returns 1, then the quit counter has reached the maximum.
virtual function bit is_read()
Returns true if the current value of the m_command variable is UVM_TLM_READ_COMMAND.
function bit is_read_only()
Retruns one if this resource has been set to read-only, zero otherwise
function bit is_recording_enabled()
Returns 1 if recording is currently on, 0 otherwise.
virtual function bit is_relevant()
The default is_relevant implementation returns 1, indicating that the sequence is always relevant.
virtual function bit is_response_error()
Return TRUE if the current value of the m_response_status variable is not UVM_TLM_OK_RESPONSE
virtual function bit is_response_ok()
Return TRUE if the current value of the m_response_status variable is UVM_TLM_OK_RESPONSE
static function bit is_tracing()
Returns 1 if the tracing facility is on and 0 if it is off.
static function bit is_tracing()
Returns 1 if the tracing facility is on and 0 if it is off.
function bit is_unbounded ()
Returns 1 if this port has no maximum on the number of implementation ports this port can connect to.
virtual function bit is_volatile()
Indicates if the field value is volatile
virtual function bit is_write()
Returns true if the current value of the m_command variable is UVM_TLM_WRITE_COMMAND.
protected function void issue()
Immediately issues the message which is currently being processed.
virtual function void item_done( input  T2  t  =  null )
Indicates that the request is completed to the sequencer.
This set of functions provide an iterator interface for callback queues.
function void jump( uvm_phase  phase )
jumps all active phases of this domain to to-phase if there is a path between active-phase and to-phase
function void jump( uvm_phase  phase )
Jump to a specified phase.
static function void jump_all( uvm_phase  phase )
Make all schedules jump to a specified phase, even if the jump target is local.
function void kill()
This function will kill the sequence, and cause all current locks and requests in the sequence’s default sequencer to be removed.
uvm_access_e kind
Kind of access: READ or WRITE.
rand uvm_access_e kind
Kind of access: READ or WRITE.
uvm_printer_knobs knobs = new
The knob object provides access to the variety of knobs associated with a specific printer instance.
function CB last()
Returns the last valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object.
virtual function int last ( ref  KEY  key )
Returns the key of the last item stored in the pool.
function REQ last_req( int  unsigned  n  =  0 )
Returns the last request item by default.
function RSP last_rsp( int  unsigned  n  =  0 )
Returns the last response item by default.
int unsigned len
Number of addresses required
int lineno
The file name from where this transaction originated, if provided at the call site.
uvm_reg_map local_map
The local map used to obtain addresses.
rand uvm_mem_mam::locality_e locality
Region location mode
Location of memory regions
task lock( uvm_sequencer_base  sequencer  =  null )
Requests a lock on the specified sequencer.
virtual task lock( uvm_sequence_base  sequence_ptr )
Requests a lock for the sequence specified by sequence_ptr.
virtual function void lock_model()
Lock a model and build the address map.
function uvm_component lookup ( string  name )
Looks for a component with the given hierarchical name relative to this component.
This group of functions is for finding resources in the resource database.
function uvm_resource_types::rsrc_q_t lookup_name(
    string  scope  =  "",
    string  name,   
    uvm_resource_base  type_handle  =  null,
    bit  rpterr  =  1
)
Lookup resources by name.
function uvm_resource_types::rsrc_q_t lookup_regex( string  re,
  scope )
Looks for all the resources whose name matches the regular expression argument and whose scope matches the current scope.
function uvm_resource_types::rsrc_q_t lookup_regex_names(
    string  scope,   
    string  name,   
    uvm_resource_base  type_handle  =  null
)
This utility function answers the question, for a given name, scope,and type_handle, what are all of the resources with a matching name (where the resource name may be a regular expression), a matching scope (where the resoucre scope may be a regular expression), and a matching type? 
function uvm_resource_types::rsrc_q_t lookup_scope( string  scope )
This is a utility function that answers the question: For a given scope, what resources are visible to it? 
function uvm_resource_types::rsrc_q_t lookup_type( string  scope  =  "",
uvm_resource_base  type_handle    )
Lookup resources by type.
rand bit [63:0] m_address
Address for the bus operation.
rand byte unsigned m_byte_enable[]
Indicates valid m_data array elements.
rand int unsigned m_byte_enable_length
The number of elements in the m_byte_enable array.
rand uvm_tlm_command_e m_command
Bus operation type.
rand byte unsigned m_data[]
Data read or to be written.
bit m_dmi
DMI mode is not yet supported in the UVM TLM2 subset.
rand int unsigned m_length
The number of bytes to be copied to or from the m_data array, inclusive of any bytes disabled by the m_byte_enable attribute.
rand uvm_tlm_response_status_e m_response_status
Status of the bus operation.
function void m_set_hier_mode ( uvm_object  obj )
Hierarchical mode only needs to be set for intermediate components, not for uvm_root or a leaf component.
rand int unsigned m_streaming_width
Number of bytes transferred on each beat.
virtual task main_phase( uvm_phase  phase )
The uvm_main_phase phase implementation method.
uvm_mem_mam mam
Memory allocation manager
This page describes important actions users need to take before the next UVM release.
uvm_reg_map map
The original map specified for the operation.
uvm_reg_map map
The map used to convert a bus address to the corresponding register or memory handle.
The primitive, unidirectional put, get, and peek interfaces are combined to form bidirectional master and slave interfaces.
Exports a single interface that allows a master to put requests and get or peek responses.
function bit match_scope( string  s )
Using the regular expression facility, determine if this resource is visible in a scope.
bit [63:0] max_offset
Maximum address offset in the managed address space
Returns the maximum number of implementation ports that must be connected to this port by the end_of_elaboration phase.
int mcd = UVM_STDOUT
This is a file descriptor, or multi-channel descriptor, that specifies where the print output should be directed.
uvm_mem mem
The memory to be tested
uvm_mem mem
The memory to be tested
uvm_mem mem
The memory to test; must be assigned prior to starting sequence.
protected uvm_mem_single_access_seq mem_seq
The sequence used to test one memory
protected uvm_mem_single_walk_seq mem_seq
The sequence used to test one memory
protected uvm_mem_shared_access_seq mem_seq
The sequence used to test one memory
Manages the exclusive allocation of consecutive memory locations called regions.
This section defines sequences for applying a “walking-ones” algorithm on one or more memories.
virtual function void mid_do( uvm_sequence_item  this_item )
This function is a user-definable callback function that is called after the sequence item has been randomized, and just before the item is sent to the driver.
bit [63:0] min_offset
Minimum address offset in the managed address space
Returns the mininum number of implementation ports that must be connected to this port by the end_of_elaboration phase.
virtual task mirror( output  uvm_status_e  status,   
input  uvm_check_e  check  =  UVM_NO_CHECK,
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read the register and update/check its mirror value
virtual task mirror( output  uvm_status_e  status,   
input  uvm_check_e  check  =  UVM_NO_CHECK,
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Update the mirrored values
virtual task mirror( output  uvm_status_e  status,   
input  uvm_check_e  check  =  UVM_NO_CHECK,
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read the field and update/check its mirror value
Reads the next value out of the DUT FIFO.
virtual task mirror_reg( input  uvm_reg  rg,   
output  uvm_status_e  status,   
input  uvm_check_e  check  =  UVM_NO_CHECK,
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Mirrors the given register rg using uvm_reg::mirror, supplying ‘this’ as the parent argument.
string miscompares = ""
This string is reset to an empty string when a comparison is started.
rand uvm_mem_mam::alloc_mode_e mode
Region allocation mode
The block to be tested.
The block to be tested.
The block to be tested.
The block to be tested.
The block to be tested.
The block to be tested.
The block to be tested
uvm_reg_block model
Block abstraction this sequence executes on, defined only when this sequence is a user-defined test sequence.
diverge from the specification that was used to create it.
int n_bits
The number of bits of uvm_reg_item::value being transferred by this transaction.
rand int unsigned n_bytes
Number of bytes in each memory location
virtual function bit nb_transport( input  T1  req,
output  T2  rsp )
Executes the given request and returns the response in the given output argument.
virtual function uvm_tlm_sync_e nb_transport_bw( t,
ref  p,
input  uvm_tlm_time  delay )
Implementation of the backward path.
virtual function uvm_tlm_sync_e nb_transport_fw( t,
ref  p,
input  uvm_tlm_time  delay )
Forward path call.
virtual function bit needs_update()
Returns 1 if any of the fields need updating
virtual function bit needs_update()
Check if DUT registers need to be written
virtual function bit needs_update()
Check if the abstract model contains different desired and mirrored values.
The name and parent are the standard uvm_component constructor arguments.
The name and parent are the standard uvm_component constructor arguments.
Creates a new bidirectional imp port with the given name and parent.
Creates a new unidirectional imp port with the given name and parent.
The name and parent are the standard uvm_component constructor arguments.
The name and parent are the standard uvm_component constructor arguments.
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new( string  name,   
uvm_component  parent  =  null,
TRANSFORMER  transformer  =  null )
Creates an instance of a specialization of this class.
function new ( string  name,   
uvm_component  parent  =  null )
Instantiate the export.
function new ( string  name  =  "",
int  threshold  =  0 )
Creates a new barrier object.
function new( string  name )
Create a new instance of a bottom-up phase.
function new ( string  name  =  "" )
Creates an instance that holds two built-in type values.
function new( string  name  =  "uvm_callback" )
Creates a new uvm_callback object, giving it an optional name.
function new( obj )
Creates a new callback iterator object.
function new ( string  name  =  "",
T1  f  =  null,
T2  s  =  null )
Creates an instance that holds a handle to two objects.
function new ( string  name,
uvm_component  parent )
Creates a new component with the given leaf instance name and handle to to its parent.
function new( string  name )
Create a new instance of a phase domain.
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new ( string  name  =  "env",
uvm_component  parent  =  null )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new ( string  name  =  "" )
Creates a new event object.
function new ( string  name  =  "" )
Creates a new callback object.
function new( string  name,   
uvm_component  cntxt,   
uvm_callbacks_objection  objection  =  null )
Creates a new heartbeat instance associated with cntxt.
function new()
Creates a new instance of uvm_line_printer.
function new ( string  name,   
longint  unsigned  size,   
int  unsigned  n_bits,   
string  access  =  "RW",
int  has_coverage  =  UVM_NO_COVERAGE )
Create a new instance and type-specific configuration
function new( string  name,   
uvm_mem_mam_cfg  cfg,   
uvm_mem  mem  =  null )
Create a new manager instance
function new( string  name  =  "uvm_mem_walk_seq" )
Creates a new instance of the class with the given name.
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new ( string  name  =  "" )
Creates a new uvm_object with the given instance name.
function new ( string  name  =  "" )
Creates a new pool with the given name.
function new( string  name  =  "" )
Creates a new objection instance.
function new( string  name  =  "uvm_phase",
uvm_phase_type  phase_type  =  UVM_PHASE_SCHEDULE,
uvm_phase  parent  =  null )
Create a new phase node, with a name and a note of its type name - name of this phase type - task, topdown func or bottomup func
function new ( string  name  =  "" )
Creates a new pool with the given name.
function new ( string  name,   
uvm_component  parent,   
uvm_port_type_e  port_type,   
int  min_size  =  0,
int  max_size  =  1 )
The first two arguments are the normal uvm_component constructor arguments.
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new ( string  name,   
uvm_component  parent  =  null )
Standard component constructor that creates an instance of this class using the given name and parent, if any.
function new ( string  name  =  "" )
Creates a new queue with the given name.
function new( string  name,
uvm_component  parent )
Creates a new instance of a specialization of this class.
function new ( string  name  =  "",
int  unsigned  n_bits,   
int  has_coverage    )
Create a new instance and type-specific configuration
function new( string  name  =  "" )
Create a new instance of this type, giving it the optional name.
function new( string  name  =  "" )
Create an instance of this class
function new( string  name  =  "",
int  has_coverage  =  UVM_NO_COVERAGE )
Create a new instance and type-specific configuration
function new( string  name  =  "uvm_reg_field" )
Create a new field instance
function new( string  name  =  "reg_fifo",
int  unsigned  size,   
int  unsigned  n_bits,   
int  has_cover    )
Creates an instance of a FIFO register having size elements of n_bits each.
function new ( string  name  =  "" )
Create a new instance
function new( string  name  =  "" )
Constructor, new object givne optional name.
function new( string  name  =  "uvm_reg_indirect",
int  unsigned  n_bits,   
int  has_cover    )
Create an instance of this class
function new( string  name  =  "" )
Create a new instance of this type, giving it the optional name.
function new( string  name  =  "uvm_reg_map" )
Create a new instance
function new ( string  name,
uvm_component  parent )
Create a new instance of this type, giving it the optional name and parent.
function new ( string  name  =  "uvm_reg_sequence_inst" )
Create a new instance, giving it the optional name.
function new( string  name  =  "uvm_report_catcher" )
Create a new report catcher.
function new()
Creates and initializes a new uvm_report_handler object.
function new( string  name  =  "" )
Creates a new report object with the given name.
function new()
Creates the central report server, if not already created.
function new( string  name  =  "",
string  s  =  "*" )
constructor for uvm_resource_base.
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new ( string  name  =  "uvm_sequence" )
Creates and initializes a new sequence object.
function new ( string  name  =  "uvm_sequence" )
The constructor for uvm_sequence_base.
function new ( string  name  =  "uvm_sequence_item" )
The constructor method for uvm_sequence_item.
function new ( string  name,   
uvm_component  parent  =  null )
Standard component constructor that creates an instance of this class using the given name and parent, if any.
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent.
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new()
Creates a new instance of uvm_table_printer.
function new( string  name )
Create a new instance of a task-based phase
function new ( string  name,
uvm_component  parent )
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new( string  name  ,   
uvm_component  parent  =  null )
This is the standard uvm_component constructor.
function new( string  name,
uvm_component  parent )
Construct a new instance of this socket
function new ( string  name,   
uvm_component  parent,   
IMP  imp  =  null )
Construct a new instance of this socket imp is a reference to the class implementing the b_transport() method.
function new( string  name  =  "" )
creates a new extension object.
function new( string  name  =  "" )
function new( string  name,   
uvm_component  parent  =  null,
int  size  =  1 )
The name and parent are the normal uvm_component constructor arguments.
function new( string  name,   
uvm_component  parent  =  null )
The name and parent are the normal uvm_component constructor arguments.
function new( string  name  =  "" )
Create a new instance of the generic payload.
function new( string  name,   
uvm_component  parent,   
IMP  imp  =  null )
Construct a new instance of this socket imp is a reference to the class implementing the nb_transport_bw() method.
function new ( string  name,   
uvm_component  parent,   
IMP  imp  =  null )
Construct a new instance of this socket imp is a reference to the class implementing the nb_transport_fw() method.
function new ( string  name,   
uvm_component  parent  =  null,
int  request_fifo_size  =  1,
int  response_fifo_size  =  1 )
The name and parent are the standard uvm_component constructor arguments.
function new( string  name  =  "uvm_tlm_time",
real  res  =  0 )
Create a new canonical time value.
function new ( string  name,   
uvm_component  parent  =  null )
The name and parent are the standard uvm_component constructor arguments.
function new( string  name )
Create a new instance of a top-down phase
function new ( string  name  =  "",
uvm_component  initiator  =  null )
Creates a new transaction object.
function new()
Creates a new instance of uvm_tree_printer.
function new( string  name,
int  unsigned  n_bits )
Create a new instance and type-specific configuration
function new( string  name  =  "uvm_vreg_field" )
Create a new virtual field instance
function CB next()
Returns the next valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object.
virtual function int next ( ref  KEY  key )
Returns the key of the next item in the pool.
virtual function int num ()
Returns the number of uniquely keyed items stored in the pool.
These methods provide object level hooks into the uvm_objection mechanism.
The following classes define the objection mechanism and end-of-test functionality, which is based on uvm_objection.
string oct_radix = "'o"
This string should be prepended to the value of an integral type when a radix of UVM_OCT is used for the radix of the integral object.
rand uvm_reg_addr_t offset
For memory accesses, the offset address.